Method of making composite films inorganic comrounds
专利摘要:
1495987 Depositing monatomic layers in sequence INSTRUMENTARIUM OY 17 Nov 1975 [29 Nov 1974] 47212/75 Heading C7F Compound films are grown from the gaseous phase by depositing the chemical elements A and B of the compound in sequence and one at a time in vacuo or inert gas, the vapour pressure of the elements and temperature of the substrate and/or interaction time being controlled so that except for atoms A or B bonded individually to atoms B or A respectively of the preceding layer, all atoms of the element being deposited remain in or return to the gaseous phase, whereby each layer is deposited as a monatomic layer only. Vapour deposition or sputtering may be used, the substrate being arranged on a rotating holder which rotates in turn over sources of respective elements; the substrate is hotter than the sources whereby unbound atoms are back-evaporated; suitable apparatus is described. The substrate may be glass, and the following coatings are exemplified: (1) ZnS produced from evaporated Zn and evaporated S (2) SnO 2 , produced by evaporating Sn and reacting with O 2 plasma and (3) GaP, produced by evaporating both components. 公开号:SU810085A3 申请号:SU752193253 申请日:1975-11-28 公开日:1981-02-28 发明作者:Сунтола Туомо;Антсон Йорма 申请人:Ой Лохья Аб (Фирма); IPC主号:
专利说明:
(54) METHOD FOR OBTAINING COMPOUND FILMS OF INORGANIC COMPOUNDS OF NIKES and. Preventing condensation on: her element after the formation of the atomic layer of this element. The process of painting atomic layers, such as element A and element B, where element A usually belongs to one of groups I, 11, ill or IV of the periodic system, and element B, respectively, from one of the groups Vil, VI or V, occurs as follows: typical films are I-VII, II-V1 or MI-V groups or oxides. Element A in the gas phase reacts with that grown by. surface, the surface atoms of which belong to group B, form one or the same. the night atomic layer due to the strong B – A bond created on the surface, while all the atoms of element A that additionally hit the surface will immediately return to the gas phase if the A – A bond is not strong enough to prevent the back evaporation of element A. When interacting with the gas phase of element A, the extrudable film can grow only by one atomic layer, even if the number of atoms on the surface significantly exceeds the amount corresponding to the monatomic layer. After the interaction of the growing surface with the gas phase of element A, it begins to interact with the gas phase of element B; at the same time, atoms A in the surface layer of the film re-enter into a strong bond B-A with atoms B directly striking it, and now the surface is covered by a single atomic layer of element B, and the bond B-B cannot interfere with the element Return to the gas phase. These alternating peaKi ffl steps are repeated until the necessary for each compound AB is obtained. FIG. 1 shows the device, a cross-section; in fig. 2 shows section A-A in FIG. 1. The device includes a chamber I, the substrates on which the film is grown, are mounted on a disk 3 rotating by means of a shaft 4. Under the disk 3 are placed sources of 5 and 6 vapor, which are sectors isolated from one another and each of which is designed for the necessary vapor pressure of the elementary components of the film being grown. When the disk 3 rotates, the substrates 2 alternately interact with the vapors of element A in source 5 and B in source 6, as a result of which the film grows, provided that the vapor pressure and temperature of substrate 2 is ensured. 4 Example. The cultivation of ZnS is carried out using the device with the following values of the system parameters: rotational speed 2 rev / s; substrate material granulated glass; substrate temperature 320 ° C; total bombardment by Zn atoms in one interaction between the surface and Zn vapors of about 5x10 at. / cm according to measurements using a special quartz crystal motor, which corresponds to an effective vapor pressure of about 10 mm Hg. and an equilibrium temperature of about 290 ° C. For source Sj, the equilibrium temperature of the source is 100 ° C, which corresponds to a vapor pressure of about 10 mm Hg. and total bombardment with Sj molecules of approximately 5x10 mol / cm. For ten "dinut this process gives a film with a thickness of about 0.27 JUIM. . Processes of 20 and 30 ltyn give films with a thickness of 0.54 mm and 0.80 jMM respectively. Example 2. The growth of SnO2 layers on granulated glass substrates is carried out under the following conditions: the substrate temperature is 300 ° C; the total number of Sn atoms per interaction with the Sn source is about 0.6x10 ax / cm; oxygen source of plasma type with a total pressure of 10-100 microns Hg and a plasma current of 40 mA. The total bombardment with Oj ions is equal to T-III ion / cm during interaction with the plasma source. At a rotation speed of 1 rev / s, the process gives an SnOj 600 A film growth in 25 min, i.e. the average value during growth is 0.4A per revolution. EXAMPLE 3. The growth of CaP layers on granulated glass substrates is carried out under the following conditions: substrate temperature C; the total number of Ca atoms when interacting with the source SaYu at. the total number of P molecules (most likely P4) of the bombarding surfaces when interacting with the phosphorus furnace is approximately 5 10 at / cm. For 25 minutes, a film with a thickness of 0.25 m is grown at a rotation speed of 1 rev / g. The average growth rate is 1.7 A per cycle. The proposed method provides self-balancing film growth, the theoretical growth rate cannot be exceeded, but approaching it occurs asymptomatically with increasing time (or pressure) interaction at each step of the reaction. The resulting ZnS films are selectively etched using an etchant containing 60 hours of HzRO4.5h. HNOt and one part HF at room temperature. Etching rate from 10 to 150 J4M / C in the direction of the surface for ZnS films with a thickness of 0.1-0.7} (m while in the direction perpendicular to the surface plane, no etching effect could be detected. Etching of SnOj films obtained by the EAS method turned out to be possible only by electrochemical methods.
权利要求:
Claims (1) [1] Invention Formula The method of obtaining composite films of inorganic compounds by deposition on the substrate of vapors of elements entering the compound, obtained by heating elements in separate sources, characterized in that, in order to obtain a uniform film thickness, to improve the control of the stoichiometry of the obtained compound and to increase its chemical stability, deposition lead by alternating contact with the substrate vapors of at least one element, with a partial pressure, providing the formation of a single atomic layer on the substrate, and at the temperature of the substrate, 1 higher than the temperature of the sources and preventing the element from condensing on it after the formation of the atomic layer of this element.
类似技术:
公开号 | 公开日 | 专利标题 SU810085A3|1981-02-28|Method of making composite films inorganic comrounds US5015353A|1991-05-14|Method for producing substoichiometric silicon nitride of preselected proportions EP0915178A3|1999-05-26|Sputtering target of highly purified titanium US3925187A|1975-12-09|Apparatus for the formation of coatings on a substratum SU570239A1|1979-02-10|Method of obtaining crystalline compounds of a-iy and b-yi US4151330A|1979-04-24|Mb3 Ge Superconductive films grown with nitrogen US4151329A|1979-04-24|Nb3 Ge Superconductive films US4033843A|1977-07-05|Simple method of preparing structurally high quality PbSnTe films EP0047651B1|1984-01-11|Method of producing image sensor JP5543251B2|2014-07-09|Film forming method using ion plating method and apparatus used therefor JP2744069B2|1998-04-28|Thin film formation method US4139678A|1979-02-13|Piezoelectric crystalline films and method of preparing the same Yang et al.1990|Process effects on radio frequency diode reactively sputtered ZrO2 films JP3584089B2|2004-11-04|Rare earth element material for CVD and film forming method using the same JPH07109029B2|1995-11-22|Method of manufacturing perovskite thin film JP2708213B2|1998-02-04|Manufacturing method of ferroelectric thin film JPH11260724A|1999-09-24|Method and device for manufacturing compound semiconductor thin film JPH09296265A|1997-11-18|Production of oblique vapor-deposited coating film SU687464A1|1979-09-25|Method of manufacturing thermo magnetic record carrier JP3007703B2|2000-02-07|Method for producing sulfide thin film JP3291566B2|2002-06-10|Transparent stable film forming method JPH0397859A|1991-04-23|Evaporating device JPS63171869A|1988-07-15|Formation of thin bismuth titanate film SU1647505A1|1991-05-07|Method of production of carrier for xerography Formigoni1988|Silicon Nitride Films Formed with DC-Magnetron Reactive Sputtering
同族专利:
公开号 | 公开日 FI52359C|1977-08-10| DK539875A|1976-05-30| DK152060B|1988-01-25| BE835906A|1976-03-16| HU174175B|1979-11-28| SE393967B|1977-05-31| AT381122B|1986-08-25| DK152060C|1988-07-11| FI52359B|1977-05-02| NO143634B|1980-12-08| JPS5177589A|1976-07-05| IL48478D0|1976-01-30| DD122479A5|1976-10-12| GB1495987A|1977-12-21| AU8666275A|1977-05-26| ATA868675A|1986-01-15| JPS5735158B2|1982-07-27| US4058430A|1977-11-15| IT1049804B|1981-02-10| AU505960B2|1979-12-06| NL173824C|1984-03-16| IL48478A|1978-12-17| FR2292517B1|1982-04-02| BR7507724A|1976-08-10| SE401986B|1978-06-12| FI347374A|1976-05-30| PL118412B1|1981-10-31| ZA757128B|1976-10-27| CH618469A5|1980-07-31| DE2553048C3|1979-08-09| NL7513284A|1976-06-01| NO143634C|1981-03-18| NO753921L|1976-06-01| CS249502B2|1987-03-12| CA1066174A|1979-11-13| HK64880A|1980-11-21| IN143912B|1978-02-05| DE2553048B2|1978-11-30| DE2553048A1|1976-06-10| NL173824B|1983-10-17| SE7513336L|1976-05-30| FR2292517A1|1976-06-25|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题 WO1998000587A1|1996-07-02|1998-01-08|Jury Viktorovich Klevkov|Method for producing high-purity crystalline compounds and device for realising the same| RU2584841C2|2011-04-07|2016-05-20|Пикосан Ой|Atomic layer deposition with plasma source| RU2630731C2|2008-04-22|2017-09-12|Пикосун Ой|Device and method for deprivation reactors|GB1051401A| NL224894A|1957-06-08| FR1227508A|1959-04-17|1960-08-22|Shockley Transistor Corp|Junction transistor| AT247915B|1962-08-27|1966-07-11|Siemens Ag|Process for producing crystalline layers from highly pure, brittle material| US3316386A|1964-05-20|1967-04-25|Bendix Corp|Multiple evaporation rate monitor and control| US3392051A|1964-06-08|1968-07-09|Ibm|Method for forming thin film electrical circuit elements by preferential nucleation techniques| FR1465435A|1965-08-27|1967-01-13|Manufacturing process of thin-film electronic components and integrated circuits by vaporization by means of laser and corresponding apparatus| DE1519892A1|1966-06-02|1969-02-20|Siemens Ag|Process for producing high-purity crystalline, in particular single-crystalline materials| US3493430A|1967-10-02|1970-02-03|North American Rockwell|Single crystal molybdenum on insulating substrates| US3556837A|1967-11-22|1971-01-19|Gulf Energy & Environ Systems|Composite and method of making same| US3608519A|1968-12-31|1971-09-28|Texas Instruments Inc|Deposition reactor| US3576670A|1969-02-19|1971-04-27|Gulf Energy & Environ Systems|Method for making a superconducting material| DE1917406A1|1969-04-03|1970-10-15|Isofilm International Chatswor|Process and device for material vapor deposition| US3623712A|1969-10-15|1971-11-30|Applied Materials Tech|Epitaxial radiation heated reactor and process| DE1963207C3|1969-12-17|1973-10-25|Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt|Device for the epitaxial deposition of semiconductor material on a substrate| JPS4942351B1|1970-08-12|1974-11-14| DE2166427C3|1970-12-31|1978-08-24|Western Electric Co. Inc., New York, N.Y. |Process for epitaxial growth of a doped GaAs thin film| JPS513632B2|1971-10-26|1976-02-04| US3862859A|1972-01-10|1975-01-28|Rca Corp|Method of making a semiconductor device| US3839084A|1972-11-29|1974-10-01|Bell Telephone Labor Inc|Molecular beam epitaxy method for fabricating magnesium doped thin films of group iii-v compounds| DE2314672A1|1973-03-23|1974-10-03|Siemens Ag|METHOD OF HETEROEPITACTIC GROWING OF III-V COMPOUND SEMICONDUCTOR MATERIAL|US4207836A|1977-07-01|1980-06-17|Hitachi, Ltd.|Vacuum vapor-deposition apparatus| US4313338A|1978-08-18|1982-02-02|Matsushita Electric Industrial Co., Ltd.|Gas sensing device| US4239584A|1978-09-29|1980-12-16|International Business Machines Corporation|Molecular-beam epitaxy system and method including hydrogen treatment| DE2847620C2|1978-11-02|1984-10-18|Siemens AG, 1000 Berlin und 8000 München|Device for the production of electrical components, in particular film capacitors| DE3040693A1|1979-11-08|1981-05-27|Deutsche Itt Industries Gmbh, 7800 Freiburg|METHOD FOR METALIZING SEMICONDUCTOR COMPONENTS| FI57975C|1979-02-28|1980-11-10|Lohja Ab Oy|OVER ANCHORING VIDEO UPDATE FOR AVAILABILITY| US4289797A|1979-10-11|1981-09-15|Western Electric Co., Incorporated|Method of depositing uniform films of Six Ny or Six Oy in a plasma reactor| US4261771A|1979-10-31|1981-04-14|Bell Telephone Laboratories, Incorporated|Method of fabricating periodic monolayer semiconductor structures by molecular beam epitaxy| JPS58501719A|1981-10-15|1983-10-13| FI64878C|1982-05-10|1984-01-10|Lohja Ab Oy|KOMBINATIONSFILM FOER ISYNNERHET TUNNFILMELEKTROLUMINENSSTRUKTURER| JPH0323489B2|1982-09-13|1991-03-29|Hitachi Ltd| US4664960A|1982-09-23|1987-05-12|Energy Conversion Devices, Inc.|Compositionally varied materials and method for synthesizing the materials| US4520039A|1982-09-23|1985-05-28|Sovonics Solar Systems|Compositionally varied materials and method for synthesizing the materials| US4483725A|1982-09-30|1984-11-20|At&T Bell Laboratories|Reactive vapor deposition of multiconstituent material| JPS6236999B2|1983-02-23|1987-08-10|Koito Mfg Co Ltd| US4523051A|1983-09-27|1985-06-11|The Boeing Company|Thin films of mixed metal compounds| GB8332394D0|1983-12-05|1984-01-11|Pilkington Brothers Plc|Coating apparatus| JPS6320615Y2|1983-12-29|1988-06-08| JPS60202927A|1984-03-28|1985-10-14|Nippon Telegr & Teleph Corp <Ntt>|Forming method of iii-v group element compound semiconductor layer| US4592926A|1984-05-21|1986-06-03|Machine Technology, Inc.|Processing apparatus and method| JPH0766909B2|1984-07-26|1995-07-19|新技術事業団|Element semiconductor single crystal thin film growth method| GB2162207B|1984-07-26|1989-05-10|Japan Res Dev Corp|Semiconductor crystal growth apparatus| JPH0766906B2|1984-07-26|1995-07-19|新技術事業団|GaAs epitaxial growth method| US5294286A|1984-07-26|1994-03-15|Research Development Corporation Of Japan|Process for forming a thin film of silicon| JPH0766910B2|1984-07-26|1995-07-19|新技術事業団|Semiconductor single crystal growth equipment| GB2162862B|1984-07-26|1988-10-19|Japan Res Dev Corp|A method of growing a thin film single crystalline semiconductor| GB8421162D0|1984-08-21|1984-09-26|British Telecomm|Growth of semi-conductors| US4622083A|1985-03-11|1986-11-11|Texas Instruments Incorporated|Molecular beam epitaxial process| JPS61210679A|1985-03-15|1986-09-18|Sony Corp|Semiconductor device| US5250148A|1985-05-15|1993-10-05|Research Development Corporation|Process for growing GaAs monocrystal film| US5769950A|1985-07-23|1998-06-23|Canon Kabushiki Kaisha|Device for forming deposited film| US6077718A|1985-07-23|2000-06-20|Canon Kabushiki Kaisha|Method for forming deposited film| US5261961A|1985-07-23|1993-11-16|Canon Kabushiki Kaisha|Device for forming deposited film| JPH0817159B2|1985-08-15|1996-02-21|キヤノン株式会社|Method of forming deposited film| AU590327B2|1985-09-09|1989-11-02|Sumitomo Electric Industries, Ltd.|Method of growth of thin film layer for use in a composite semiconductor| US4837048A|1985-10-24|1989-06-06|Canon Kabushiki Kaisha|Method for forming a deposited film| US4834022A|1985-11-08|1989-05-30|Focus Semiconductor Systems, Inc.|CVD reactor and gas injection system| US4829022A|1985-12-09|1989-05-09|Nippon Telegraph And Telephone Corporation|Method for forming thin films of compound semiconductors by flow rate modulation epitaxy| JPH0645885B2|1985-12-16|1994-06-15|キヤノン株式会社|Deposited film formation method| JPH0645888B2|1985-12-17|1994-06-15|キヤノン株式会社|Deposited film formation method| JPH0645890B2|1985-12-18|1994-06-15|キヤノン株式会社|Deposited film formation method| JPS62142778A|1985-12-18|1987-06-26|Canon Inc|Formation of deposited film| US5160543A|1985-12-20|1992-11-03|Canon Kabushiki Kaisha|Device for forming a deposited film| JPH0746729B2|1985-12-26|1995-05-17|キヤノン株式会社|Method of manufacturing thin film transistor| JPS62226892A|1986-03-29|1987-10-05|Univ Tohoku|Production of thin single crystal sapphire film| AU7077087A|1986-03-31|1987-10-08|Canon Kabushiki Kaisha|Forming a deposited film| US4828938A|1986-04-11|1989-05-09|Hughes Aircraft Company|Method for depositing materials containing tellurium and product| US4767494A|1986-07-04|1988-08-30|Nippon Telegraph & Telephone Corporation|Preparation process of compound semiconductor| JPH0834180B2|1986-08-26|1996-03-29|セイコー電子工業株式会社|Method for growing compound semiconductor thin film| JP2587623B2|1986-11-22|1997-03-05|新技術事業団|Epitaxial crystal growth method for compound semiconductor| US4834023A|1986-12-19|1989-05-30|Canon Kabushiki Kaisha|Apparatus for forming deposited film| JPH0812844B2|1987-03-27|1996-02-07|日本電気株式会社| -Group V compound semiconductor and method for forming the same| US5068204A|1987-03-27|1991-11-26|Misawa Co. Ltd.|Method of manufacturing a light emitting element| JPH0666274B2|1987-07-01|1994-08-24|日本電気株式会社| -Method for forming group V compound semiconductor| GB8718916D0|1987-08-10|1987-09-16|Ion Tech Ltd|Thin film alloying apparatus| US5296087A|1987-08-24|1994-03-22|Canon Kabushiki Kaisha|Crystal formation method| FI81926C|1987-09-29|1990-12-10|Nokia Oy Ab|FOERFARANDE FOER UPPBYGGNING AV GAAS-FILMER PAO SI- OCH GAAS-SUBSTRATER.| DE3743938C2|1987-12-23|1995-08-31|Cs Halbleiter Solartech|Process for atomic layer epitaxy growth of a III / V compound semiconductor thin film| US5166092A|1988-01-28|1992-11-24|Fujitsu Limited|Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy| US5130269A|1988-04-27|1992-07-14|Fujitsu Limited|Hetero-epitaxially grown compound semiconductor substrate and a method of growing the same| US5108779A|1988-05-26|1992-04-28|General Electric Company|Diamond crystal growth process| US5261959A|1988-05-26|1993-11-16|General Electric Company|Diamond crystal growth apparatus| EP0344352B1|1988-06-03|1994-09-28|International Business Machines Corporation|Method for making artificial layered high-Tc superconductors| US4951603A|1988-09-12|1990-08-28|Daidousanso Co., Ltd.|Apparatus for producing semiconductors| US4931132A|1988-10-07|1990-06-05|Bell Communications Research, Inc.|Optical control of deposition of crystal monolayers| JPH0647515B2|1988-12-08|1994-06-22|シャープ株式会社|Compound semiconductor epitaxial growth method| DE3843157C1|1988-12-22|1990-05-10|Du Pont De NemoursGmbh, 6380 Bad Homburg, De| US5013683A|1989-01-23|1991-05-07|The Regents Of The University Of California|Method for growing tilted superlattices| JPH0824191B2|1989-03-17|1996-03-06|富士通株式会社|Thin film transistor| US4993358A|1989-07-28|1991-02-19|Watkins-Johnson Company|Chemical vapor deposition reactor and method of operation| US5164040A|1989-08-21|1992-11-17|Martin Marietta Energy Systems, Inc.|Method and apparatus for rapidly growing films on substrates using pulsed supersonic jets| US5338389A|1990-01-19|1994-08-16|Research Development Corporation Of Japan|Method of epitaxially growing compound crystal and doping method therein| US5094974A|1990-02-28|1992-03-10|The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration|Growth of III-V films by control of MBE growth front stoichiometry| US5091335A|1990-03-30|1992-02-25|The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration|MBE growth technology for high quality strained III-V layers| US5071670A|1990-06-11|1991-12-10|Kelly Michael A|Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means| US5225366A|1990-06-22|1993-07-06|The United States Of America As Represented By The Secretary Of The Navy|Apparatus for and a method of growing thin films of elemental semiconductors| US5483919A|1990-08-31|1996-01-16|Nippon Telegraph And Telephone Corporation|Atomic layer epitaxy method and apparatus| US5385651A|1991-01-11|1995-01-31|University Of Georgia Research Foundation|Digital electrochemical etching of compound semiconductors| WO1992012278A1|1991-01-11|1992-07-23|University Of Georgia Research Foundation, Inc.|Method to electrochemically deposit compound semiconductors| US5291066A|1991-11-14|1994-03-01|General Electric Company|Moisture-proof electrical circuit high density interconnect module and method for making same| US5311055A|1991-11-22|1994-05-10|The United States Of America As Represented By The Secretary Of The Navy|Trenched bipolar transistor structures| US5455459A|1992-03-27|1995-10-03|Martin Marietta Corporation|Reconstructable interconnect structure for electronic circuits| US5458084A|1992-04-16|1995-10-17|Moxtek, Inc.|X-ray wave diffraction optics constructed by atomic layer epitaxy| US5330610A|1993-05-28|1994-07-19|Martin Marietta Energy Systems, Inc.|Method of digital epilaxy by externally controlled closed-loop feedback| DE4421539C2|1993-06-22|2001-03-22|Mitsubishi Chem Corp|Process for the preparation of a semiconductor from a group II-VI compound| FI92897C|1993-07-20|1995-01-10|Planar International Oy Ltd|Process for producing a layer structure for electroluminescence components| US6130147A|1994-04-07|2000-10-10|Sdl, Inc.|Methods for forming group III-V arsenide-nitride semiconductor materials| JP3181171B2|1994-05-20|2001-07-03|シャープ株式会社|Vapor phase growth apparatus and vapor phase growth method| US5641984A|1994-08-19|1997-06-24|General Electric Company|Hermetically sealed radiation imager| JP2654608B2|1994-09-09|1997-09-17|科学技術振興事業団|Method for manufacturing GaAs semiconductor diode| FI97730C|1994-11-28|1997-02-10|Mikrokemia Oy|Equipment for the production of thin films| FI97731C|1994-11-28|1997-02-10|Mikrokemia Oy|Method and apparatus for making thin films| FI100409B|1994-11-28|1997-11-28|Asm Int|Method and apparatus for making thin films| FI954922A|1995-10-16|1997-04-17|Picopak Oy|Method of manufacture and contact hollow structure for dense surface joints of semiconductor wafers| US5698262A|1996-05-06|1997-12-16|Libbey-Owens-Ford Co.|Method for forming tin oxide coating on glass| US5747113A|1996-07-29|1998-05-05|Tsai; Charles Su-Chang|Method of chemical vapor deposition for producing layer variation by planetary susceptor rotation| US6342277B1|1996-08-16|2002-01-29|Licensee For Microelectronics: Asm America, Inc.|Sequential chemical vapor deposition| US5916365A|1996-08-16|1999-06-29|Sherman; Arthur|Sequential chemical vapor deposition| FI100758B|1996-09-11|1998-02-13|Planar Internat Oy Ltd|Methods to Form a Luminescence Layer of ZnS: Mn for Thin Film Electroluminescence Components| JPH10308283A|1997-03-04|1998-11-17|Denso Corp|El element and its manufacture| US5851849A|1997-05-22|1998-12-22|Lucent Technologies Inc.|Process for passivating semiconductor laser structures with severe steps in surface topography| FI972874A0|1997-07-04|1997-07-04|Mikrokemia Oy|Foerfarande och anordning Foer framstaellning av tunnfilmer| US7393561B2|1997-08-11|2008-07-01|Applied Materials, Inc.|Method and apparatus for layer by layer deposition of thin films| KR100274603B1|1997-10-01|2001-01-15|윤종용|Method and apparatus for fabricating semiconductor device| US5972430A|1997-11-26|1999-10-26|Advanced Technology Materials, Inc.|Digital chemical vapor depositionmethod for forming a multi-component oxide layer| FI104383B|1997-12-09|2000-01-14|Fortum Oil & Gas Oy|Procedure for coating the inside of a plant| FI108355B|1998-07-28|2002-01-15|Planar Systems Oy|Nõyt ÷ thin-film structure insulating film or thin-film electroluminescent insulating device| FI108375B|1998-09-11|2002-01-15|Asm Microchemistry Oy|Still for producing insulating oxide thin films| US20060219157A1|2001-06-28|2006-10-05|Antti Rahtu|Oxide films containing titanium| US6576053B1|1999-10-06|2003-06-10|Samsung Electronics Co., Ltd.|Method of forming thin film using atomic layer deposition method| US6358632B1|1998-11-10|2002-03-19|Planar Systems, Inc.|TFEL devices having insulating layers| DE10080457T1|1999-02-12|2001-04-26|Gelest Inc|CVD deposition of tungsten nitride| FI118342B|1999-05-10|2007-10-15|Asm Int|Apparatus for making thin films| US20040224504A1|2000-06-23|2004-11-11|Gadgil Prasad N.|Apparatus and method for plasma enhanced monolayer processing| US20040129212A1|2002-05-20|2004-07-08|Gadgil Pradad N.|Apparatus and method for delivery of reactive chemical precursors to the surface to be treated| US6238734B1|1999-07-08|2001-05-29|Air Products And Chemicals, Inc.|Liquid precursor mixtures for deposition of multicomponent metal containing materials| US6503561B1|1999-07-08|2003-01-07|Air Products And Chemicals, Inc.|Liquid precursor mixtures for deposition of multicomponent metal containing materials| FI110311B|1999-07-20|2002-12-31|Asm Microchemistry Oy|Method and apparatus for eliminating substances from gases| US7554829B2|1999-07-30|2009-06-30|Micron Technology, Inc.|Transmission lines for CMOS integrated circuits| AT420454T|1999-08-17|2009-01-15|Tokyo Electron Ltd|PULSE PLASMA TREATMENT METHOD AND DEVICE| US6391785B1|1999-08-24|2002-05-21|Interuniversitair Microelektronica Centrum |Method for bottomless deposition of barrier layers in integrated circuit metallization schemes| US6511539B1|1999-09-08|2003-01-28|Asm America, Inc.|Apparatus and method for growth of a thin film| TW515032B|1999-10-06|2002-12-21|Samsung Electronics Co Ltd|Method of forming thin film using atomic layer deposition method| FI117942B|1999-10-14|2007-04-30|Asm Int|Process for making oxide thin films| US6475276B1|1999-10-15|2002-11-05|Asm Microchemistry Oy|Production of elemental thin films using a boron-containing reducing agent| AU1208201A|1999-10-15|2001-04-30|Asm America, Inc.|Method for depositing nanolaminate thin films on sensitive surfaces| FI117944B|1999-10-15|2007-04-30|Asm Int|A method for growing transition metal nitride thin films| US6727169B1|1999-10-15|2004-04-27|Asm International, N.V.|Method of making conformal lining layers for damascene metallization| FI118158B|1999-10-15|2007-07-31|Asm Int|Process for modifying the starting chemical in an ALD process| FI119941B|1999-10-15|2009-05-15|Asm Int|A process for preparing nanolaminates| US6203613B1|1999-10-19|2001-03-20|International Business Machines Corporation|Atomic layer deposition with nitrate containing precursors| KR100363084B1|1999-10-19|2002-11-30|삼성전자 주식회사|Capacitor comprising multi-layered film for thin film structure and methods thereof| US6780704B1|1999-12-03|2004-08-24|Asm International Nv|Conformal thin films over textured capacitor electrodes| US6503330B1|1999-12-22|2003-01-07|Genus, Inc.|Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition| SE517886C2|1999-12-27|2002-07-30|Gunnarssons C Verkstads Ab|Device and method for placing litter on a board stack| FI118343B|1999-12-28|2007-10-15|Asm Int|Apparatus for making thin films| FI118474B|1999-12-28|2007-11-30|Asm Int|Apparatus for making thin films| US6576062B2|2000-01-06|2003-06-10|Tokyo Electron Limited|Film forming apparatus and film forming method| US6551399B1|2000-01-10|2003-04-22|Genus Inc.|Fully integrated process for MIM capacitors using atomic layer deposition| US6492283B2|2000-02-22|2002-12-10|Asm Microchemistry Oy|Method of forming ultrathin oxide layer| US7419903B2|2000-03-07|2008-09-02|Asm International N.V.|Thin films| JP5016767B2|2000-03-07|2012-09-05|エーエスエムインターナショナルエヌ.ヴェー.|Method for forming gradient thin film| US9139906B2|2001-03-06|2015-09-22|Asm America, Inc.|Doping with ALD technology| US6500499B1|2000-03-10|2002-12-31|Air Products And Chemicals, Inc.|Deposition and annealing of multicomponent ZrSnTi and HfSnTi oxide thin films using solventless liquid mixture of precursors| JP4556282B2|2000-03-31|2010-10-06|株式会社デンソー|Organic EL device and method for manufacturing the same| TW576873B|2000-04-14|2004-02-21|Asm Int|Method of growing a thin film onto a substrate| FI117978B|2000-04-14|2007-05-15|Asm Int|Method and apparatus for constructing a thin film on a substrate| US7060132B2|2000-04-14|2006-06-13|Asm International N.V.|Method and apparatus of growing a thin film| FI117979B|2000-04-14|2007-05-15|Asm Int|Process for making oxide thin films| US20020195056A1|2000-05-12|2002-12-26|Gurtej Sandhu|Versatile atomic layer deposition apparatus| US6482733B2|2000-05-15|2002-11-19|Asm Microchemistry Oy|Protective layers prior to alternating layer deposition| US6759325B2|2000-05-15|2004-07-06|Asm Microchemistry Oy|Sealing porous structures| US6878628B2|2000-05-15|2005-04-12|Asm International Nv|In situ reduction of copper oxide prior to silicon carbide deposition| US6679951B2|2000-05-15|2004-01-20|Asm Intenational N.V.|Metal anneal with oxidation prevention| US7494927B2|2000-05-15|2009-02-24|Asm International N.V.|Method of growing electrical conductors| FI118805B|2000-05-15|2008-03-31|Asm Int|A method and configuration for introducing a gas phase reactant into a reaction chamber| JP5173101B2|2000-05-15|2013-03-27|エイエスエムインターナショナルエヌ.ヴェー.|Integrated circuit manufacturing method| JP3687651B2|2000-06-08|2005-08-24|ジニテックインク.|Thin film formation method| US6620723B1|2000-06-27|2003-09-16|Applied Materials, Inc.|Formation of boride barrier layers using chemisorption techniques| US6551929B1|2000-06-28|2003-04-22|Applied Materials, Inc.|Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques| US7405158B2|2000-06-28|2008-07-29|Applied Materials, Inc.|Methods for depositing tungsten layers employing atomic layer deposition techniques| US7732327B2|2000-06-28|2010-06-08|Applied Materials, Inc.|Vapor deposition of tungsten materials| US7101795B1|2000-06-28|2006-09-05|Applied Materials, Inc.|Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer| US7964505B2|2005-01-19|2011-06-21|Applied Materials, Inc.|Atomic layer deposition of tungsten materials| US6585823B1|2000-07-07|2003-07-01|Asm International, N.V.|Atomic layer deposition| US6592942B1|2000-07-07|2003-07-15|Asm International N.V.|Method for vapour deposition of a film onto a substrate| US6458416B1|2000-07-19|2002-10-01|Micron Technology, Inc.|Deposition methods| JP4697570B2|2000-08-02|2011-06-08|日立金属株式会社|Thin-film rare earth permanent magnet and method for manufacturing the same| KR100458982B1|2000-08-09|2004-12-03|주성엔지니어링|Semiconductor device fabrication apparatus having rotatable gas injector and thin film deposition method using the same| US7192888B1|2000-08-21|2007-03-20|Micron Technology, Inc.|Low selectivity deposition methods| US6903005B1|2000-08-30|2005-06-07|Micron Technology, Inc.|Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics| US6461909B1|2000-08-30|2002-10-08|Micron Technology, Inc.|Process for fabricating RuSixOy-containing adhesion layers| US7094690B1|2000-08-31|2006-08-22|Micron Technology, Inc.|Deposition methods and apparatuses providing surface activation| EP1772534A3|2000-09-28|2007-04-25|The President and Fellows of Harvard College|Tungsten-containing and hafnium-containingprecursors for vapor deposition| US6617173B1|2000-10-11|2003-09-09|Genus, Inc.|Integration of ferromagnetic films with ultrathin insulating film using atomic layer deposition| US20030190424A1|2000-10-20|2003-10-09|Ofer Sneh|Process for tungsten silicide atomic layer deposition| KR100869326B1|2000-11-30|2008-11-18|에이에스엠 인터내셔널 엔.브이.|thin films for magnetic devices| KR100382149B1|2000-11-30|2003-05-09|한국전자통신연구원|Formation method for Sr-Ta-O thin films| WO2002070142A1|2000-12-06|2002-09-12|Angstron Systems, Inc.|Method and apparatus for improved temperature control in atomic layer deposition| US9255329B2|2000-12-06|2016-02-09|Novellus Systems, Inc.|Modulated ion-induced atomic layer deposition | US6800173B2|2000-12-15|2004-10-05|Novellus Systems, Inc.|Variable gas conductance control for a process chamber| US6630201B2|2001-04-05|2003-10-07|Angstron Systems, Inc.|Adsorption process for atomic layer deposition| US20020144786A1|2001-04-05|2002-10-10|Angstron Systems, Inc.|Substrate temperature control in an ALD reactor| US6765178B2|2000-12-29|2004-07-20|Applied Materials, Inc.|Chamber for uniform substrate heating| US6998579B2|2000-12-29|2006-02-14|Applied Materials, Inc.|Chamber for uniform substrate heating| US6825447B2|2000-12-29|2004-11-30|Applied Materials, Inc.|Apparatus and method for uniform substrate heating and contaminate collection| US20020127336A1|2001-01-16|2002-09-12|Applied Materials, Inc.|Method for growing thin films by catalytic enhancement| US6811814B2|2001-01-16|2004-11-02|Applied Materials, Inc.|Method for growing thin films by catalytic enhancement| EP1229356A3|2001-01-31|2004-01-21|Planar Systems, Inc.|Methods and apparatus for the production of optical filters| KR100408733B1|2001-02-02|2003-12-11|주성엔지니어링|Thin Film Deposition Method| US6951804B2|2001-02-02|2005-10-04|Applied Materials, Inc.|Formation of a tantalum-nitride layer| WO2002080244A2|2001-02-12|2002-10-10|Asm America, Inc.|Improved process for deposition of semiconductor films| US6613656B2|2001-02-13|2003-09-02|Micron Technology, Inc.|Sequential pulse deposition| US6852167B2|2001-03-01|2005-02-08|Micron Technology, Inc.|Methods, systems, and apparatus for uniform chemical-vapor depositions| US6660126B2|2001-03-02|2003-12-09|Applied Materials, Inc.|Lid assembly for a processing system to facilitate sequential deposition techniques| US6939579B2|2001-03-07|2005-09-06|Asm International N.V.|ALD reactor and method with controlled wall temperature| US6734020B2|2001-03-07|2004-05-11|Applied Materials, Inc.|Valve control system for atomic layer deposition chamber| US7842605B1|2003-04-11|2010-11-30|Novellus Systems, Inc.|Atomic layer profiling of diffusion barrier and metal seed layers| US7186648B1|2001-03-13|2007-03-06|Novellus Systems, Inc.|Barrier first method for single damascene trench applications| US7781327B1|2001-03-13|2010-08-24|Novellus Systems, Inc.|Resputtering process for eliminating dielectric damage| US6764940B1|2001-03-13|2004-07-20|Novellus Systems, Inc.|Method for depositing a diffusion barrier for copper interconnect applications| US8298933B2|2003-04-11|2012-10-30|Novellus Systems, Inc.|Conformal films on semiconductor substrates| US8043484B1|2001-03-13|2011-10-25|Novellus Systems, Inc.|Methods and apparatus for resputtering process that improves barrier coverage| FI109770B|2001-03-16|2002-10-15|Asm Microchemistry Oy|Growing transition metal nitride thin films by using compound having hydrocarbon, amino or silyl group bound to nitrogen as nitrogen source material| US6884719B2|2001-03-20|2005-04-26|Mattson Technology, Inc.|Method for depositing a coating having a relatively high dielectric constant onto a substrate| US6908639B2|2001-04-02|2005-06-21|Micron Technology, Inc.|Mixed composition interface layer and method of forming| US6627268B1|2001-05-03|2003-09-30|Novellus Systems, Inc.|Sequential ion, UV, and electron induced chemical vapor deposition| US6759081B2|2001-05-11|2004-07-06|Asm International, N.V.|Method of depositing thin films for magnetic heads| SE523263C2|2001-05-23|2004-04-06|Gunnarssons C Verkstads Ab|Device and method for laying litter on a board stack| US6828218B2|2001-05-31|2004-12-07|Samsung Electronics Co., Ltd.|Method of forming a thin film using atomic layer deposition| US7056278B2|2001-06-01|2006-06-06|Adamed Sp. Z.O.O.|Method of treating overactive bladder in women| US6849545B2|2001-06-20|2005-02-01|Applied Materials, Inc.|System and method to form a composite film stack utilizing sequential deposition techniques| US6861334B2|2001-06-21|2005-03-01|Asm International, N.V.|Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition| US7211144B2|2001-07-13|2007-05-01|Applied Materials, Inc.|Pulsed nucleation deposition of tungsten layers| US6878206B2|2001-07-16|2005-04-12|Applied Materials, Inc.|Lid assembly for a processing system to facilitate sequential deposition techniques| JP2005504885A|2001-07-25|2005-02-17|アプライド マテリアルズ インコーポレイテッド|Barrier formation using a novel sputter deposition method| US20090004850A1|2001-07-25|2009-01-01|Seshadri Ganguli|Process for forming cobalt and cobalt silicide materials in tungsten contact applications| US8110489B2|2001-07-25|2012-02-07|Applied Materials, Inc.|Process for forming cobalt-containing materials| US9051641B2|2001-07-25|2015-06-09|Applied Materials, Inc.|Cobalt deposition on barrier surfaces| US20030029715A1|2001-07-25|2003-02-13|Applied Materials, Inc.|An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems| US7085616B2|2001-07-27|2006-08-01|Applied Materials, Inc.|Atomic layer deposition apparatus| US6835414B2|2001-07-27|2004-12-28|Unaxis Balzers Aktiengesellschaft|Method for producing coated substrates| JP4921652B2|2001-08-03|2012-04-25|エイエスエムインターナショナルエヌ.ヴェー.|Method for depositing yttrium oxide and lanthanum oxide thin films| JP4666912B2|2001-08-06|2011-04-06|エー・エス・エムジニテックコリア株式会社|Plasma reinforced atomic layer deposition apparatus and thin film forming method using the same| US7368014B2|2001-08-09|2008-05-06|Micron Technology, Inc.|Variable temperature deposition methods| US8026161B2|2001-08-30|2011-09-27|Micron Technology, Inc.|Highly reliable amorphous high-K gate oxide ZrO2| US6806145B2|2001-08-31|2004-10-19|Asm International, N.V.|Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer| KR100434698B1|2001-09-05|2004-06-07|주식회사 하이닉스반도체|Method for growing epitaxial layer in semiconductor device| US9708707B2|2001-09-10|2017-07-18|Asm International N.V.|Nanolayer deposition using bias power treatment| US6718126B2|2001-09-14|2004-04-06|Applied Materials, Inc.|Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition| JP4938962B2|2001-09-14|2012-05-23|エーエスエムインターナショナルエヌ.ヴェー.|Metal nitride deposition by ALD using gettering reactant| US6936906B2|2001-09-26|2005-08-30|Applied Materials, Inc.|Integration of barrier layer and seed layer| US7049226B2|2001-09-26|2006-05-23|Applied Materials, Inc.|Integration of ALD tantalum nitride for copper metallization| US6960537B2|2001-10-02|2005-11-01|Asm America, Inc.|Incorporation of nitrogen into high k dielectric film| US6589887B1|2001-10-11|2003-07-08|Novellus Systems, Inc.|Forming metal-derived layers by simultaneous deposition and evaporation of metal| US7025894B2|2001-10-16|2006-04-11|Hewlett-Packard Development Company, L.P.|Fluid-ejection devices and a deposition method for layers thereof| US7780785B2|2001-10-26|2010-08-24|Applied Materials, Inc.|Gas delivery apparatus for atomic layer deposition| US6916398B2|2001-10-26|2005-07-12|Applied Materials, Inc.|Gas delivery apparatus and method for atomic layer deposition| KR100760291B1|2001-11-08|2007-09-19|에이에스엠지니텍코리아 주식회사|Method for forming thin film| KR100782529B1|2001-11-08|2007-12-06|에이에스엠지니텍코리아 주식회사|Apparatus for depositing| US6773507B2|2001-12-06|2004-08-10|Applied Materials, Inc.|Apparatus and method for fast-cycle atomic layer deposition| US6729824B2|2001-12-14|2004-05-04|Applied Materials, Inc.|Dual robot processing system| US6902620B1|2001-12-19|2005-06-07|Novellus Systems, Inc.|Atomic layer deposition systems and methods| US6900122B2|2001-12-20|2005-05-31|Micron Technology, Inc.|Low-temperature grown high-quality ultra-thin praseodymium gate dielectrics| KR20030058595A|2001-12-31|2003-07-07|주식회사 하이닉스반도체|Method for forming a film| US6767795B2|2002-01-17|2004-07-27|Micron Technology, Inc.|Highly reliable amorphous high-k gate dielectric ZrOXNY| US6911092B2|2002-01-17|2005-06-28|Sundew Technologies, Llc|ALD apparatus and method| US7175713B2|2002-01-25|2007-02-13|Applied Materials, Inc.|Apparatus for cyclical deposition of thin films| US6998014B2|2002-01-26|2006-02-14|Applied Materials, Inc.|Apparatus and method for plasma assisted deposition| US6866746B2|2002-01-26|2005-03-15|Applied Materials, Inc.|Clamshell and small volume chamber with fixed substrate support| US6911391B2|2002-01-26|2005-06-28|Applied Materials, Inc.|Integration of titanium and titanium nitride layers| US6827978B2|2002-02-11|2004-12-07|Applied Materials, Inc.|Deposition of tungsten films| US6833161B2|2002-02-26|2004-12-21|Applied Materials, Inc.|Cyclical deposition of tungsten nitride for metal oxide gate electrode| US6972267B2|2002-03-04|2005-12-06|Applied Materials, Inc.|Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor| AU2003220088A1|2002-03-08|2003-09-22|Sundew Technologies, Llc|Ald method and apparatus| US6893506B2|2002-03-11|2005-05-17|Micron Technology, Inc.|Atomic layer deposition apparatus and method| US7220312B2|2002-03-13|2007-05-22|Micron Technology, Inc.|Methods for treating semiconductor substrates| KR100468847B1|2002-04-02|2005-01-29|삼성전자주식회사|Chemical vapor deposition method using alcohols for forming metal-oxide thin film| US7439191B2|2002-04-05|2008-10-21|Applied Materials, Inc.|Deposition of silicon layers for active matrix liquid crystal displayapplications| US6846516B2|2002-04-08|2005-01-25|Applied Materials, Inc.|Multiple precursor cyclical deposition system| US6720027B2|2002-04-08|2004-04-13|Applied Materials, Inc.|Cyclical deposition of a variable content titanium silicon nitride layer| US6875271B2|2002-04-09|2005-04-05|Applied Materials, Inc.|Simultaneous cyclical deposition in different processing regions| US6869838B2|2002-04-09|2005-03-22|Applied Materials, Inc.|Deposition of passivation layers for active matrix liquid crystal displayapplications| US7279432B2|2002-04-16|2007-10-09|Applied Materials, Inc.|System and method for forming an integrated barrier layer| US20040247787A1|2002-04-19|2004-12-09|Mackie Neil M.|Effluent pressure control for use in a processing system| JP2005523384A|2002-04-19|2005-08-04|マットソンテクノロジイインコーポレイテッド|System for depositing films on substrates using low vapor pressure gas precursors| US7045430B2|2002-05-02|2006-05-16|Micron Technology Inc.|Atomic layer-deposited LaAlO3 films for gate dielectrics| US7589029B2|2002-05-02|2009-09-15|Micron Technology, Inc.|Atomic layer deposition and conversion| US7160577B2|2002-05-02|2007-01-09|Micron Technology, Inc.|Methods for atomic-layer deposition of aluminum oxides in integrated circuits| US7205218B2|2002-06-05|2007-04-17|Micron Technology, Inc.|Method including forming gate dielectrics having multiple lanthanide oxide layers| US7135421B2|2002-06-05|2006-11-14|Micron Technology, Inc.|Atomic layer-deposited hafnium aluminum oxide| US7221586B2|2002-07-08|2007-05-22|Micron Technology, Inc.|Memory utilizing oxide nanolaminates| US6955211B2|2002-07-17|2005-10-18|Applied Materials, Inc.|Method and apparatus for gas temperature control in a semiconductor processing system| US7186385B2|2002-07-17|2007-03-06|Applied Materials, Inc.|Apparatus for providing gas to a processing chamber| US7066194B2|2002-07-19|2006-06-27|Applied Materials, Inc.|Valve design and configuration for fast delivery system| US6772072B2|2002-07-22|2004-08-03|Applied Materials, Inc.|Method and apparatus for monitoring solid precursor delivery| US6915592B2|2002-07-29|2005-07-12|Applied Materials, Inc.|Method and apparatus for generating gas to a processing chamber| US6921702B2|2002-07-30|2005-07-26|Micron Technology Inc.|Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics| US7153542B2|2002-08-06|2006-12-26|Tegal Corporation|Assembly line processing method| GB0218417D0|2002-08-08|2002-09-18|Seagate Technology Llc|Combined atomic layer deposition and damascene processing for definition of narrow trenches| US7186630B2|2002-08-14|2007-03-06|Asm America, Inc.|Deposition of amorphous silicon-containing films| US20040071878A1|2002-08-15|2004-04-15|Interuniversitair Microelektronica Centrum |Surface preparation using plasma for ALD Films| US6884739B2|2002-08-15|2005-04-26|Micron Technology Inc.|Lanthanide doped TiOx dielectric films by plasma oxidation| US20040036129A1|2002-08-22|2004-02-26|Micron Technology, Inc.|Atomic layer deposition of CMOS gates with variable work functions| US6967154B2|2002-08-26|2005-11-22|Micron Technology, Inc.|Enhanced atomic layer deposition| US7084078B2|2002-08-29|2006-08-01|Micron Technology, Inc.|Atomic layer deposited lanthanide doped TiOx dielectric films| US6936086B2|2002-09-11|2005-08-30|Planar Systems, Inc.|High conductivity particle filter| US6821563B2|2002-10-02|2004-11-23|Applied Materials, Inc.|Gas distribution system for cyclical layer deposition| US6770536B2|2002-10-03|2004-08-03|Agere Systems Inc.|Process for semiconductor device fabrication in which a insulating layer is formed on a semiconductor substrate| US20040069227A1|2002-10-09|2004-04-15|Applied Materials, Inc.|Processing chamber configured for uniform gas flow| US6905737B2|2002-10-11|2005-06-14|Applied Materials, Inc.|Method of delivering activated species for rapid cyclical deposition| US20040086434A1|2002-11-04|2004-05-06|Gadgil Pradad N.|Apparatus and method for treating objects with radicals generated from plasma| US7204886B2|2002-11-14|2007-04-17|Applied Materials, Inc.|Apparatus and method for hybrid chemical processing| US6869818B2|2002-11-18|2005-03-22|Redwood Microsystems, Inc.|Method for producing and testing a corrosion-resistant channel in a silicon device| US6958302B2|2002-12-04|2005-10-25|Micron Technology, Inc.|Atomic layer deposited Zr-Sn-Ti-O films using TiI4| US7101813B2|2002-12-04|2006-09-05|Micron Technology Inc.|Atomic layer deposited Zr-Sn-Ti-O films| US7262133B2|2003-01-07|2007-08-28|Applied Materials, Inc.|Enhancement of copper line reliability using thin ALD tan film to cap the copper line| US20040134427A1|2003-01-09|2004-07-15|Derderian Garo J.|Deposition chamber surface enhancement and resulting deposition chambers| JP4528489B2|2003-01-27|2010-08-18|独立行政法人理化学研究所|Ultraviolet light emitting device using p-type semiconductor| US6994319B2|2003-01-29|2006-02-07|Applied Materials, Inc.|Membrane gas valve for pulsing a gas| US6868859B2|2003-01-29|2005-03-22|Applied Materials, Inc.|Rotary gas valve for pulsing a gas| US7713592B2|2003-02-04|2010-05-11|Tegal Corporation|Nanolayer deposition process| US7192892B2|2003-03-04|2007-03-20|Micron Technology, Inc.|Atomic layer deposited dielectric layers| US20040177813A1|2003-03-12|2004-09-16|Applied Materials, Inc.|Substrate support lift mechanism| US20040178175A1|2003-03-12|2004-09-16|Pellin Michael J.|Atomic layer deposition for high temperature superconductor material synthesis| US6955986B2|2003-03-27|2005-10-18|Asm International N.V.|Atomic layer deposition methods for forming a multi-layer adhesion-barrier layer for integrated circuits| US6972055B2|2003-03-28|2005-12-06|Finens Corporation|Continuous flow deposition system| US7294360B2|2003-03-31|2007-11-13|Planar Systems, Inc.|Conformal coatings for micro-optical elements, and method for making the same| US7135369B2|2003-03-31|2006-11-14|Micron Technology, Inc.|Atomic layer deposited ZrAlxOydielectric layers including Zr4AlO9| US7342984B1|2003-04-03|2008-03-11|Zilog, Inc.|Counting clock cycles over the duration of a first character and using a remainder value to determine when to sample a bit of a second character| US20040198069A1|2003-04-04|2004-10-07|Applied Materials, Inc.|Method for hafnium nitride deposition| US7183186B2|2003-04-22|2007-02-27|Micro Technology, Inc.|Atomic layer deposited ZrTiO4 films| US20040211357A1|2003-04-24|2004-10-28|Gadgil Pradad N.|Method of manufacturing a gap-filled structure of a semiconductor device| US7211508B2|2003-06-18|2007-05-01|Applied Materials, Inc.|Atomic layer deposition of tantalum based barrier materials| US7192824B2|2003-06-24|2007-03-20|Micron Technology, Inc.|Lanthanide oxide / hafnium oxide dielectric layers| US20100129548A1|2003-06-27|2010-05-27|Sundew Technologies, Llc|Ald apparatus and method| US7662233B2|2003-06-27|2010-02-16|Ofer Sneh|ALD apparatus and method| US7067407B2|2003-08-04|2006-06-27|Asm International, N.V.|Method of growing electrical conductors| US6818517B1|2003-08-29|2004-11-16|Asm International N.V.|Methods of depositing two or more layers on a substrate in situ| US20050067103A1|2003-09-26|2005-03-31|Applied Materials, Inc.|Interferometer endpoint monitoring device| US7803476B2|2003-11-07|2010-09-28|Gm Global Technology Operations, Inc.|Electrical contact element for a fuel cell having a conductive monoatomic layer coating| US20050103264A1|2003-11-13|2005-05-19|Frank Jansen|Atomic layer deposition process and apparatus| US20050221004A1|2004-01-20|2005-10-06|Kilpela Olli V|Vapor reactant source system with choked-flow elements| US20050172897A1|2004-02-09|2005-08-11|Frank Jansen|Barrier layer process and arrangement| US7115304B2|2004-02-19|2006-10-03|Nanosolar, Inc.|High throughput surface treatment on coiled flexible substrates| US20050233477A1|2004-03-05|2005-10-20|Tokyo Electron Limited|Substrate processing apparatus, substrate processing method, and program for implementing the method| US20050210455A1|2004-03-18|2005-09-22|International Business Machines Corporation|Method for generating an executable workflow code from an unstructured cyclic process model| US7405143B2|2004-03-25|2008-07-29|Asm International N.V.|Method for fabricating a seed layer| US20050252449A1|2004-05-12|2005-11-17|Nguyen Son T|Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system| JP4879509B2|2004-05-21|2012-02-22|株式会社アルバック|Vacuum deposition system| US8119210B2|2004-05-21|2012-02-21|Applied Materials, Inc.|Formation of a silicon oxynitride layer on a high-k dielectric material| US8323754B2|2004-05-21|2012-12-04|Applied Materials, Inc.|Stabilization of high-k dielectric materials| EP2161352B1|2004-06-28|2014-02-26|Cambridge Nanotech Inc.|Vapour trap for atomic layer deposition | US20060019493A1|2004-07-15|2006-01-26|Li Wei M|Methods of metallization for microelectronic devices utilizing metal oxide| US20060019029A1|2004-07-20|2006-01-26|Hamer Kevin T|Atomic layer deposition methods and apparatus| US7081421B2|2004-08-26|2006-07-25|Micron Technology, Inc.|Lanthanide oxide dielectric layer| US7588988B2|2004-08-31|2009-09-15|Micron Technology, Inc.|Method of forming apparatus having oxide films formed using atomic layer deposition| US7494939B2|2004-08-31|2009-02-24|Micron Technology, Inc.|Methods for forming a lanthanum-metal oxide dielectric layer| US20060073276A1|2004-10-04|2006-04-06|Eric Antonissen|Multi-zone atomic layer deposition apparatus and method| US7235501B2|2004-12-13|2007-06-26|Micron Technology, Inc.|Lanthanum hafnium oxide dielectrics| US7438760B2|2005-02-04|2008-10-21|Asm America, Inc.|Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition| US20060177601A1|2005-02-10|2006-08-10|Hyung-Sang Park|Method of forming a ruthenium thin film using a plasma enhanced atomic layer deposition apparatus and the method thereof| US7374964B2|2005-02-10|2008-05-20|Micron Technology, Inc.|Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics| US8025922B2|2005-03-15|2011-09-27|Asm International N.V.|Enhanced deposition of noble metals| US7389023B2|2005-03-15|2008-06-17|Hewlett-Packard Development Company, L.P.|Method and apparatus for forming a photonic crystal| US7666773B2|2005-03-15|2010-02-23|Asm International N.V.|Selective deposition of noble metal thin films| US7608549B2|2005-03-15|2009-10-27|Asm America, Inc.|Method of forming non-conformal layers| US7687409B2|2005-03-29|2010-03-30|Micron Technology, Inc.|Atomic layer deposited titanium silicon oxide films| WO2006106767A1|2005-03-30|2006-10-12|Matsushita Electric Industrial Co., Ltd.|Transmission line pair and transmission line group| US7662729B2|2005-04-28|2010-02-16|Micron Technology, Inc.|Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer| US7396415B2|2005-06-02|2008-07-08|Asm America, Inc.|Apparatus and methods for isolating chemical vapor reactions at a substrate surface| US7473637B2|2005-07-20|2009-01-06|Micron Technology, Inc.|ALD formed titanium nitride films| US7927948B2|2005-07-20|2011-04-19|Micron Technology, Inc.|Devices with nanocrystals and methods of formation| US8058644B1|2005-08-03|2011-11-15|Ari Aviram|Nanostructure for molecular electronics comprising collinear metal lines defining precise nanoscale gap| US7402534B2|2005-08-26|2008-07-22|Applied Materials, Inc.|Pretreatment processes within a batch ALD reactor| US8110469B2|2005-08-30|2012-02-07|Micron Technology, Inc.|Graded dielectric layers| US20070054048A1|2005-09-07|2007-03-08|Suvi Haukka|Extended deposition range by hot spots| US7464917B2|2005-10-07|2008-12-16|Appiled Materials, Inc.|Ampoule splash guard apparatus| US8993055B2|2005-10-27|2015-03-31|Asm International N.V.|Enhanced thin film deposition| US20070128862A1|2005-11-04|2007-06-07|Paul Ma|Apparatus and process for plasma-enhanced atomic layer deposition| US7563715B2|2005-12-05|2009-07-21|Asm International N.V.|Method of producing thin films| JP4803578B2|2005-12-08|2011-10-26|東京エレクトロン株式会社|Deposition method| US20070151842A1|2005-12-15|2007-07-05|Fluens Corporation|Apparatus for reactive sputtering| WO2007078802A2|2005-12-22|2007-07-12|Asm America, Inc.|Epitaxial deposition of doped semiconductor materials| US7713584B2|2005-12-22|2010-05-11|Asm International N.V.|Process for producing oxide films| FI121341B|2006-02-02|2010-10-15|Beneq Oy|Silver protective coating| KR101379015B1|2006-02-15|2014-03-28|한국에이에스엠지니텍 주식회사|METHOD OF DEPOSITING Ru FILM USING PEALD AND DENSE Ru FILM| US7709402B2|2006-02-16|2010-05-04|Micron Technology, Inc.|Conductive layers for hafnium silicon oxynitride films| US9039401B2|2006-02-27|2015-05-26|Microcontinuum, Inc.|Formation of pattern replicating tools| WO2007102466A1|2006-03-06|2007-09-13|Tokyo Electron Limited|Plasma processing apparatus| US20070218702A1|2006-03-15|2007-09-20|Asm Japan K.K.|Semiconductor-processing apparatus with rotating susceptor| US20070215036A1|2006-03-15|2007-09-20|Hyung-Sang Park|Method and apparatus of time and space co-divided atomic layer deposition| US7235736B1|2006-03-18|2007-06-26|Solyndra, Inc.|Monolithic integration of cylindrical solar cells| EP2000008B1|2006-03-26|2011-04-27|Lotus Applied Technology, Llc|Atomic layer deposition system and method for coating flexible substrates| FR2900226B1|2006-04-25|2017-09-29|Messier Bugatti|PROCESSING OVEN OR THE LIKE| US7491634B2|2006-04-28|2009-02-17|Asm International N.V.|Methods for forming roughened surfaces and applications thereof| US7798096B2|2006-05-05|2010-09-21|Applied Materials, Inc.|Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool| US20070280895A1|2006-06-02|2007-12-06|Weimer Alan W|Coated particles and sunscreen and cosmetic products containing same| US20070281089A1|2006-06-05|2007-12-06|General Electric Company|Systems and methods for roll-to-roll atomic layer deposition on continuously fed objects| US8278176B2|2006-06-07|2012-10-02|Asm America, Inc.|Selective epitaxial formation of semiconductor films| US7645696B1|2006-06-22|2010-01-12|Novellus Systems, Inc.|Deposition of thin continuous PVD seed layers having improved adhesion to the barrier layer| US7855147B1|2006-06-22|2010-12-21|Novellus Systems, Inc.|Methods and apparatus for engineering an interface between a diffusion barrier layer and a seed layer| US7801623B2|2006-06-29|2010-09-21|Medtronic, Inc.|Implantable medical device having a conformal coating| US7795160B2|2006-07-21|2010-09-14|Asm America Inc.|ALD of metal silicate films| WO2008016836A2|2006-07-29|2008-02-07|Lotus Applied Technology, Llc|Radical-enhanced atomic layer deposition system and method| US7601648B2|2006-07-31|2009-10-13|Applied Materials, Inc.|Method for fabricating an integrated gate dielectric layer for field effect transistors| US7563730B2|2006-08-31|2009-07-21|Micron Technology, Inc.|Hafnium lanthanide oxynitride films| US8053372B1|2006-09-12|2011-11-08|Novellus Systems, Inc.|Method of reducing plasma stabilization time in a cyclic deposition process| US7871678B1|2006-09-12|2011-01-18|Novellus Systems, Inc.|Method of increasing the reactivity of a precursor in a cyclic deposition process| JP2008078448A|2006-09-22|2008-04-03|Hitachi Kokusai Electric Inc|Substrate treatment device| KR20080027009A|2006-09-22|2008-03-26|에이에스엠지니텍코리아 주식회사|Atomic layer deposition apparatus and method for depositing laminated films using the same| WO2008042981A2|2006-10-05|2008-04-10|Asm America, Inc.|Ald of metal silicate films| US8986456B2|2006-10-10|2015-03-24|Asm America, Inc.|Precursor delivery system| US8268409B2|2006-10-25|2012-09-18|Asm America, Inc.|Plasma-enhanced deposition of metal carbide films| US8795771B2|2006-10-27|2014-08-05|Sean T. Barry|ALD of metal-containing films using cyclopentadienyl compounds| US8158526B2|2006-10-30|2012-04-17|Applied Materials, Inc.|Endpoint detection for photomask etching| US7775508B2|2006-10-31|2010-08-17|Applied Materials, Inc.|Ampoule for liquid draw and vapor draw with a continuous level sensor| US7611751B2|2006-11-01|2009-11-03|Asm America, Inc.|Vapor deposition of metal carbide films| US7727864B2|2006-11-01|2010-06-01|Asm America, Inc.|Controlled composition using plasma-enhanced atomic layer deposition| US7510634B1|2006-11-10|2009-03-31|Novellus Systems, Inc.|Apparatus and methods for deposition and/or etch selectivity| US7595270B2|2007-01-26|2009-09-29|Asm America, Inc.|Passivated stoichiometric metal nitride films| US7598170B2|2007-01-26|2009-10-06|Asm America, Inc.|Plasma-enhanced ALD of tantalum nitride films| US20080206987A1|2007-01-29|2008-08-28|Gelatos Avgerinos V|Process for tungsten nitride deposition by a temperature controlled lid assembly| US7682966B1|2007-02-01|2010-03-23|Novellus Systems, Inc.|Multistep method of depositing metal seed layers| US8043432B2|2007-02-12|2011-10-25|Tokyo Electron Limited|Atomic layer deposition systems and methods| WO2008100963A1|2007-02-12|2008-08-21|Lotus Applied Technology, Llc|Fabrication of composite materials using atomic layer deposition| US8025932B2|2007-02-21|2011-09-27|Colorado School Of Mines|Self-limiting thin film synthesis achieved by pulsed plasma-enhanced chemical vapor deposition| US20080241387A1|2007-03-29|2008-10-02|Asm International N.V.|Atomic layer deposition reactor| US20080241384A1|2007-04-02|2008-10-02|Asm Genitech Korea Ltd.|Lateral flow deposition apparatus and method of depositing film by using the apparatus| WO2008122134A1|2007-04-07|2008-10-16|Inficon Gmbh|Method for producing a vacuum measuring cell of the membrane type| US7615486B2|2007-04-17|2009-11-10|Lam Research Corporation|Apparatus and method for integrated surface treatment and deposition for copper interconnect| US7713874B2|2007-05-02|2010-05-11|Asm America, Inc.|Periodic plasma annealing in an ALD-type process| US7922880B1|2007-05-24|2011-04-12|Novellus Systems, Inc.|Method and apparatus for increasing local plasma density in magnetically confined plasma| US7897516B1|2007-05-24|2011-03-01|Novellus Systems, Inc.|Use of ultra-high magnetic fields in resputter and plasma etching| JP5437594B2|2007-06-05|2014-03-12|ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー.|Organometallic compounds| US7939932B2|2007-06-20|2011-05-10|Analog Devices, Inc.|Packaged chip devices with atomic layer deposition protective films| US8017182B2|2007-06-21|2011-09-13|Asm International N.V.|Method for depositing thin films by mixed pulsed CVD and ALD| US7638170B2|2007-06-21|2009-12-29|Asm International N.V.|Low resistivity metal carbonitride thin film deposition by atomic layer deposition| US8142847B2|2007-07-13|2012-03-27|Rohm And Haas Electronic Materials Llc|Precursor compositions and methods| KR20090018290A|2007-08-17|2009-02-20|에이에스엠지니텍코리아 주식회사|Deposition apparatus| US7759199B2|2007-09-19|2010-07-20|Asm America, Inc.|Stressor for engineered strain on channel| US7659197B1|2007-09-21|2010-02-09|Novellus Systems, Inc.|Selective resputtering of metal seed layers| KR101544198B1|2007-10-17|2015-08-12|한국에이에스엠지니텍 주식회사|Method of depositing ruthenium film| US7939447B2|2007-10-26|2011-05-10|Asm America, Inc.|Inhibitors for selective deposition of silicon containing films| WO2009070574A2|2007-11-27|2009-06-04|North Carolina State University|Methods for modification of polymers, fibers and textile media| KR101376336B1|2007-11-27|2014-03-18|한국에이에스엠지니텍 주식회사|Atomic layer deposition apparatus| US7655564B2|2007-12-12|2010-02-02|Asm Japan, K.K.|Method for forming Ta-Ru liner layer for Cu wiring| FI122749B|2007-12-20|2012-06-29|Beneq Oy|coating System| US7655543B2|2007-12-21|2010-02-02|Asm America, Inc.|Separate injection of reactive species in selective formation of films| US20100123993A1|2008-02-13|2010-05-20|Herzel Laor|Atomic layer deposition process for manufacture of battery electrodes, capacitors, resistors, and catalyzers| US8071066B1|2008-02-13|2011-12-06|Laor Consulting, LLC|Method and apparatus for improving the quality of diamonds and other gemstones| US7799674B2|2008-02-19|2010-09-21|Asm Japan K.K.|Ruthenium alloy film for copper interconnects| US8273178B2|2008-02-28|2012-09-25|Asm Genitech Korea Ltd.|Thin film deposition apparatus and method of maintaining the same| US8545936B2|2008-03-28|2013-10-01|Asm International N.V.|Methods for forming carbon nanotubes| JP5551681B2|2008-04-16|2014-07-16|エーエスエムアメリカインコーポレイテッド|Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds| US8383525B2|2008-04-25|2013-02-26|Asm America, Inc.|Plasma-enhanced deposition process for forming a metal oxide thin film and related structures| KR101436564B1|2008-05-07|2014-09-02|한국에이에스엠지니텍 주식회사|Forming method of amorphous silicone thin film| US7666474B2|2008-05-07|2010-02-23|Asm America, Inc.|Plasma-enhanced pulsed deposition of metal carbide films| US8076237B2|2008-05-09|2011-12-13|Asm America, Inc.|Method and apparatus for 3D interconnect| US8017523B1|2008-05-16|2011-09-13|Novellus Systems, Inc.|Deposition of doped copper seed layers having improved reliability| US9238867B2|2008-05-20|2016-01-19|Asm International N.V.|Apparatus and method for high-throughput atomic layer deposition| US20090291209A1|2008-05-20|2009-11-26|Asm International N.V.|Apparatus and method for high-throughput atomic layer deposition| US8084104B2|2008-08-29|2011-12-27|Asm Japan K.K.|Atomic composition controlled ruthenium alloy film formed by plasma-enhanced atomic layer deposition| US8133555B2|2008-10-14|2012-03-13|Asm Japan K.K.|Method for forming metal film by ALD using beta-diketone metal complex| US8146896B2|2008-10-31|2012-04-03|Applied Materials, Inc.|Chemical precursor ampoule for vapor deposition processes| US10378106B2|2008-11-14|2019-08-13|Asm Ip Holding B.V.|Method of forming insulation film by modified PEALD| JP5384291B2|2008-11-26|2014-01-08|株式会社日立国際電気|Semiconductor device manufacturing method, substrate processing method, and substrate processing apparatus| US20100136313A1|2008-12-01|2010-06-03|Asm Japan K.K.|Process for forming high resistivity thin metallic film| WO2010065966A2|2008-12-05|2010-06-10|Lotus Applied Technology, Llc|High rate deposition of thin films with improved barrier layer properties| US9379011B2|2008-12-19|2016-06-28|Asm International N.V.|Methods for depositing nickel films and for making nickel silicide and nickel germanide| US7927942B2|2008-12-19|2011-04-19|Asm International N.V.|Selective silicide process| US8557702B2|2009-02-02|2013-10-15|Asm America, Inc.|Plasma-enhanced atomic layers deposition of conductive material over dielectric layers| US20100221426A1|2009-03-02|2010-09-02|Fluens Corporation|Web Substrate Deposition System| US20100227476A1|2009-03-04|2010-09-09|Peck John D|Atomic layer deposition processes| NL2002590C2|2009-03-04|2010-09-07|Univ Delft Technology|Apparatus and process for atomic or molecular layer deposition onto particles during pneumatic transport.| US9394608B2|2009-04-06|2016-07-19|Asm America, Inc.|Semiconductor processing reactor and components thereof| US8486191B2|2009-04-07|2013-07-16|Asm America, Inc.|Substrate reactor with adjustable injectors for mixing gases within reaction chamber| US20100266765A1|2009-04-21|2010-10-21|White Carl L|Method and apparatus for growing a thin film onto a substrate| US8071452B2|2009-04-27|2011-12-06|Asm America, Inc.|Atomic layer deposition of hafnium lanthanum oxides| US9327416B2|2009-07-17|2016-05-03|The Gillette Company|Atomic layer deposition coatings on razor components| DE102009033686A1|2009-07-17|2011-01-20|Osram Opto Semiconductors Gmbh|Optoelectronic semiconductor component and method for producing an inorganic optoelectronic semiconductor component| US8329569B2|2009-07-31|2012-12-11|Asm America, Inc.|Deposition of ruthenium or ruthenium dioxide| US8883270B2|2009-08-14|2014-11-11|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species| US8802201B2|2009-08-14|2014-08-12|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species| US9117773B2|2009-08-26|2015-08-25|Asm America, Inc.|High concentration water pulses for atomic layer deposition| EP2339048B1|2009-09-14|2016-12-07|Rohm and Haas Electronic Materials, L.L.C.|Method for depositing organometallic compounds| WO2011049816A2|2009-10-20|2011-04-28|Asm International N.V.|Processes for passivating dielectric films| US8367528B2|2009-11-17|2013-02-05|Asm America, Inc.|Cyclical epitaxial deposition and etch| PT104865A|2009-12-09|2011-06-09|Univ Aveiro|METAL MAGNESIUM BARRIER AGAINST OXYGEN DIFFUSION APPLIED TO MICROELECTRONIC DEVICES| JP5482196B2|2009-12-25|2014-04-23|東京エレクトロン株式会社|Film forming apparatus, film forming method, and storage medium| US8637123B2|2009-12-29|2014-01-28|Lotus Applied Technology, Llc|Oxygen radical generation for radical-enhanced thin film deposition| US20110293830A1|2010-02-25|2011-12-01|Timo Hatanpaa|Precursors and methods for atomic layer deposition of transition metal oxides| JP5543251B2|2010-03-23|2014-07-09|スタンレー電気株式会社|Film forming method using ion plating method and apparatus used therefor| TW201134969A|2010-04-09|2011-10-16|Hon Hai Prec Ind Co Ltd|Coating bracket and coating device using same| WO2011130174A1|2010-04-15|2011-10-20|Novellus Systems, Inc.|Gas and liquid injection methods and apparatus| US8877655B2|2010-05-07|2014-11-04|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species| WO2012012744A2|2010-07-23|2012-01-26|Lotus Applied Technology, Llc|Substrate transport mechanism contacting a single side of a flexible web substrate for roll-to-roll thin film deposition| US8778204B2|2010-10-29|2014-07-15|Applied Materials, Inc.|Methods for reducing photoresist interference when monitoring a target layer in a plasma process| US8747964B2|2010-11-04|2014-06-10|Novellus Systems, Inc.|Ion-induced atomic layer deposition of tantalum| US8871617B2|2011-04-22|2014-10-28|Asm Ip Holding B.V.|Deposition and reduction of mixed metal oxide thin films| US8809170B2|2011-05-19|2014-08-19|Asm America Inc.|High throughput cyclical epitaxial deposition and etch process| US9312155B2|2011-06-06|2016-04-12|Asm Japan K.K.|High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules| US9793148B2|2011-06-22|2017-10-17|Asm Japan K.K.|Method for positioning wafers in multiple wafer transport| US10364496B2|2011-06-27|2019-07-30|Asm Ip Holding B.V.|Dual section module having shared and unshared mass flow controllers| US10707082B2|2011-07-06|2020-07-07|Asm International N.V.|Methods for depositing thin films comprising indium nitride by atomic layer deposition| US10854498B2|2011-07-15|2020-12-01|Asm Ip Holding B.V.|Wafer-supporting device and method for producing same| US9062390B2|2011-09-12|2015-06-23|Asm International N.V.|Crystalline strontium titanate and methods of forming the same| US8961804B2|2011-10-25|2015-02-24|Applied Materials, Inc.|Etch rate detection for photomask etching| US9341296B2|2011-10-27|2016-05-17|Asm America, Inc.|Heater jacket for a fluid line| US9096931B2|2011-10-27|2015-08-04|Asm America, Inc|Deposition valve assembly and method of heating the same| US9017481B1|2011-10-28|2015-04-28|Asm America, Inc.|Process feed management for semiconductor substrate processing| US8808559B2|2011-11-22|2014-08-19|Applied Materials, Inc.|Etch rate detection for reflective multi-material layers etching| US9167625B2|2011-11-23|2015-10-20|Asm Ip Holding B.V.|Radiation shielding for a substrate holder| US9005539B2|2011-11-23|2015-04-14|Asm Ip Holding B.V.|Chamber sealing member| US8900469B2|2011-12-19|2014-12-02|Applied Materials, Inc.|Etch rate detection for anti-reflective coating layer and absorber layer etching| US20130171350A1|2011-12-29|2013-07-04|Intermolecular Inc.|High Throughput Processing Using Metal Organic Chemical Vapor Deposition| US8778081B2|2012-01-04|2014-07-15|Colorado State University Research Foundation|Process and hardware for deposition of complex thin-film alloys over large areas| US9202727B2|2012-03-02|2015-12-01|ASM IP Holding|Susceptor heater shim| US8946830B2|2012-04-04|2015-02-03|Asm Ip Holdings B.V.|Metal oxide protective layer for a semiconductor device| US9029253B2|2012-05-02|2015-05-12|Asm Ip Holding B.V.|Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same| US8728832B2|2012-05-07|2014-05-20|Asm Ip Holdings B.V.|Semiconductor device dielectric interface layer| KR20130142869A|2012-06-20|2013-12-30|주식회사 엠티에스나노테크|Apparatus and method for atomic layer deposition| US8933375B2|2012-06-27|2015-01-13|Asm Ip Holding B.V.|Susceptor heater and method of heating a substrate| US9558931B2|2012-07-27|2017-01-31|Asm Ip Holding B.V.|System and method for gas-phase sulfur passivation of a semiconductor surface| US9117866B2|2012-07-31|2015-08-25|Asm Ip Holding B.V.|Apparatus and method for calculating a wafer position in a processing chamber under process conditions| US9659799B2|2012-08-28|2017-05-23|Asm Ip Holding B.V.|Systems and methods for dynamic semiconductor process scheduling| US9169975B2|2012-08-28|2015-10-27|Asm Ip Holding B.V.|Systems and methods for mass flow controller verification| US9021985B2|2012-09-12|2015-05-05|Asm Ip Holdings B.V.|Process gas management for an inductively-coupled plasma deposition reactor| US9324811B2|2012-09-26|2016-04-26|Asm Ip Holding B.V.|Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same| US9805939B2|2012-10-12|2017-10-31|Applied Materials, Inc.|Dual endpoint detection for advanced phase shift and binary photomasks| US10714315B2|2012-10-12|2020-07-14|Asm Ip Holdings B.V.|Semiconductor reaction chamber showerhead| US20140134849A1|2012-11-09|2014-05-15|Intermolecular Inc.|Combinatorial Site Isolated Plasma Assisted Deposition| US8778574B2|2012-11-30|2014-07-15|Applied Materials, Inc.|Method for etching EUV material layers utilized to form a photomask| US9640416B2|2012-12-26|2017-05-02|Asm Ip Holding B.V.|Single-and dual-chamber module-attachable wafer-handling chamber| US8894870B2|2013-02-01|2014-11-25|Asm Ip Holding B.V.|Multi-step method and apparatus for etching compounds containing a metal| US9478422B2|2013-02-25|2016-10-25|Solan, LLC|Methods for fabricating refined graphite-based structures and devices made therefrom| US9484191B2|2013-03-08|2016-11-01|Asm Ip Holding B.V.|Pulsed remote plasma method and system| US9589770B2|2013-03-08|2017-03-07|Asm Ip Holding B.V.|Method and systems for in-situ formation of intermediate reactive species| US9412602B2|2013-03-13|2016-08-09|Asm Ip Holding B.V.|Deposition of smooth metal nitride films| US8846550B1|2013-03-14|2014-09-30|Asm Ip Holding B.V.|Silane or borane treatment of metal thin films| US8841182B1|2013-03-14|2014-09-23|Asm Ip Holding B.V.|Silane and borane treatments for titanium carbide films| US9589797B2|2013-05-17|2017-03-07|Microcontinuum, Inc.|Tools and methods for producing nanoantenna electronic devices| US8993054B2|2013-07-12|2015-03-31|Asm Ip Holding B.V.|Method and system to reduce outgassing in a reaction chamber| US9018111B2|2013-07-22|2015-04-28|Asm Ip Holding B.V.|Semiconductor reaction chamber with plasma capabilities| US9793115B2|2013-08-14|2017-10-17|Asm Ip Holding B.V.|Structures and devices including germanium-tin films and methods of forming same| US9396934B2|2013-08-14|2016-07-19|Asm Ip Holding B.V.|Methods of forming films including germanium tin and structures and devices including the films| US9214254B2|2013-09-26|2015-12-15|Eastman Kodak Company|Ultra-thin AZO with nano-layer alumina passivation| US9240412B2|2013-09-27|2016-01-19|Asm Ip Holding B.V.|Semiconductor structure and device and methods of forming same using selective epitaxial process| US9556516B2|2013-10-09|2017-01-31|ASM IP Holding B.V|Method for forming Ti-containing film by PEALD using TDMAT or TDEAT| US9605343B2|2013-11-13|2017-03-28|Asm Ip Holding B.V.|Method for forming conformal carbon films, structures conformal carbon film, and system of forming same| US10179947B2|2013-11-26|2019-01-15|Asm Ip Holding B.V.|Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition| US9394609B2|2014-02-13|2016-07-19|Asm Ip Holding B.V.|Atomic layer deposition of aluminum fluoride thin films| US10683571B2|2014-02-25|2020-06-16|Asm Ip Holding B.V.|Gas supply manifold and method of supplying gases to chamber using same| US10167557B2|2014-03-18|2019-01-01|Asm Ip Holding B.V.|Gas distribution system, reactor including the system, and methods of using the same| US9447498B2|2014-03-18|2016-09-20|Asm Ip Holding B.V.|Method for performing uniform processing in gas system-sharing multiple reaction chambers| US11015245B2|2014-03-19|2021-05-25|Asm Ip Holding B.V.|Gas-phase reactor and system having exhaust plenum and components thereof| KR20150109984A|2014-03-21|2015-10-02|삼성전자주식회사|Gas barrier film, refrigerator having the same and method of manufacturing the gas barrier film| US10569330B2|2014-04-01|2020-02-25|Forge Nano, Inc.|Energy storage devices having coated passive components| WO2015153584A1|2014-04-01|2015-10-08|Pneumaticoat Technologies Llc|Passive electronics components comprising coated nanoparticles and methods for producing and using the same| DE102014105219A1|2014-04-11|2015-10-15|Plasma Electronic Gmbh|Analysis container and analysis system| RU2554819C1|2014-04-16|2015-06-27|Общество с ограниченной ответственностью "КОНМЕТ"|Method for producing bioactive coating on titanium implant implanted into human bone tissue| US10643925B2|2014-04-17|2020-05-05|Asm Ip Holding B.V.|Fluorine-containing conductive films| US9404587B2|2014-04-24|2016-08-02|ASM IP Holding B.V|Lockout tagout for semiconductor vacuum valve| DE102014010241A1|2014-05-30|2015-12-03|Schott Ag|A body preferably having a surface comprising preferably a glass body having a glass surface and a method for producing the same| US10858737B2|2014-07-28|2020-12-08|Asm Ip Holding B.V.|Showerhead assembly and components thereof| US9543180B2|2014-08-01|2017-01-10|Asm Ip Holding B.V.|Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum| US9890456B2|2014-08-21|2018-02-13|Asm Ip Holding B.V.|Method and system for in situ formation of gas-phase compounds| US9657845B2|2014-10-07|2017-05-23|Asm Ip Holding B.V.|Variable conductance gas distribution apparatus and method| US10941490B2|2014-10-07|2021-03-09|Asm Ip Holding B.V.|Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same| US10002936B2|2014-10-23|2018-06-19|Asm Ip Holding B.V.|Titanium aluminum and tantalum aluminum thin films| KR102300403B1|2014-11-19|2021-09-09|에이에스엠 아이피 홀딩 비.브이.|Method of depositing thin film| KR102263121B1|2014-12-22|2021-06-09|에이에스엠 아이피 홀딩 비.브이.|Semiconductor device and manufacuring method thereof| KR20160093814A|2015-01-29|2016-08-09|삼성디스플레이 주식회사|Apparatus for manufacturing display apparatus and method of manufacturing display apparatus| US9478415B2|2015-02-13|2016-10-25|Asm Ip Holding B.V.|Method for forming film having low resistance and shallow junction depth| US10529542B2|2015-03-11|2020-01-07|Asm Ip Holdings B.V.|Cross-flow reactor and method| US10276355B2|2015-03-12|2019-04-30|Asm Ip Holding B.V.|Multi-zone reactor, system including the reactor, and method of using the same| US10458018B2|2015-06-26|2019-10-29|Asm Ip Holding B.V.|Structures including metal carbide material, devices including the structures, and methods of forming same| US10062567B2|2015-06-30|2018-08-28|International Business Machines Corporation|Reducing autodoping of III-V semiconductors by atomic layer epitaxy | US10600673B2|2015-07-07|2020-03-24|Asm Ip Holding B.V.|Magnetic susceptor to baseplate seal| US10043661B2|2015-07-13|2018-08-07|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film| US9899291B2|2015-07-13|2018-02-20|Asm Ip Holding B.V.|Method for protecting layer by forming hydrocarbon-based extremely thin film| US10083836B2|2015-07-24|2018-09-25|Asm Ip Holding B.V.|Formation of boron-doped titanium metal films with high work function| US10087525B2|2015-08-04|2018-10-02|Asm Ip Holding B.V.|Variable gap hard stop design| US9647114B2|2015-08-14|2017-05-09|Asm Ip Holding B.V.|Methods of forming highly p-type doped germanium tin films and structures and devices including the films| US9711345B2|2015-08-25|2017-07-18|Asm Ip Holding B.V.|Method for forming aluminum nitride-based film by PEALD| KR20170025417A|2015-08-28|2017-03-08|삼성전자주식회사|Shower head of Combinatorial Spatial Atomic Layer Deposition apparatus| US20180258536A1|2015-09-02|2018-09-13|Beneq Oy|Apparatus for processing a surface of substrate and method operating the apparatus| US9960072B2|2015-09-29|2018-05-01|Asm Ip Holding B.V.|Variable adjustment for precise matching of multiple chamber cavity housings| US9607842B1|2015-10-02|2017-03-28|Asm Ip Holding B.V.|Methods of forming metal silicides| US9909214B2|2015-10-15|2018-03-06|Asm Ip Holding B.V.|Method for depositing dielectric film in trenches by PEALD| US9941425B2|2015-10-16|2018-04-10|Asm Ip Holdings B.V.|Photoactive devices and materials| US10211308B2|2015-10-21|2019-02-19|Asm Ip Holding B.V.|NbMC layers| DE102015118041A1|2015-10-22|2017-04-27|Osram Opto Semiconductors Gmbh|Light-emitting diode chip and method for producing a light-emitting diode chip| US10322384B2|2015-11-09|2019-06-18|Asm Ip Holding B.V.|Counter flow mixer for process chamber| US9455138B1|2015-11-10|2016-09-27|Asm Ip Holding B.V.|Method for forming dielectric film in trenches by PEALD using H-containing gas| US9786492B2|2015-11-12|2017-10-10|Asm Ip Holding B.V.|Formation of SiOCN thin films| US9786491B2|2015-11-12|2017-10-10|Asm Ip Holding B.V.|Formation of SiOCN thin films| US9905420B2|2015-12-01|2018-02-27|Asm Ip Holding B.V.|Methods of forming silicon germanium tin films and structures and devices including the films| JP6697706B2|2015-12-07|2020-05-27|凸版印刷株式会社|Atomic layer deposition equipment| US9873943B2|2015-12-15|2018-01-23|Taiwan Semiconductor Manufacturing Co., Ltd.|Apparatus and method for spatial atomic layer deposition| US9607837B1|2015-12-21|2017-03-28|Asm Ip Holding B.V.|Method for forming silicon oxide cap layer for solid state diffusion process| US9627221B1|2015-12-28|2017-04-18|Asm Ip Holding B.V.|Continuous process incorporating atomic layer etching| US9735024B2|2015-12-28|2017-08-15|Asm Ip Holding B.V.|Method of atomic layer etching using functional group-containing fluorocarbon| US11139308B2|2015-12-29|2021-10-05|Asm Ip Holding B.V.|Atomic layer deposition of III-V compounds to form V-NAND devices| US10529554B2|2016-02-19|2020-01-07|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches| US9754779B1|2016-02-19|2017-09-05|Asm Ip Holding B.V.|Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches| US10468251B2|2016-02-19|2019-11-05|Asm Ip Holding B.V.|Method for forming spacers using silicon nitride film for spacer-defined multiple patterning| US10501866B2|2016-03-09|2019-12-10|Asm Ip Holding B.V.|Gas distribution apparatus for improved film uniformity in an epitaxial system| US10343920B2|2016-03-18|2019-07-09|Asm Ip Holding B.V.|Aligned carbon nanotubes| US9892913B2|2016-03-24|2018-02-13|Asm Ip Holding B.V.|Radial and thickness control via biased multi-port injection settings| US10190213B2|2016-04-21|2019-01-29|Asm Ip Holding B.V.|Deposition of metal borides| US10087522B2|2016-04-21|2018-10-02|Asm Ip Holding B.V.|Deposition of metal borides| US10865475B2|2016-04-21|2020-12-15|Asm Ip Holding B.V.|Deposition of metal borides and silicides| US10367080B2|2016-05-02|2019-07-30|Asm Ip Holding B.V.|Method of forming a germanium oxynitride film| US10032628B2|2016-05-02|2018-07-24|Asm Ip Holding B.V.|Source/drain performance through conformal solid state doping| KR20170125748A|2016-05-06|2017-11-15|에이에스엠 아이피 홀딩 비.브이.|Formation of SiOC thin films| KR20170129475A|2016-05-17|2017-11-27|에이에스엠 아이피 홀딩 비.브이.|Method of forming metal interconnection and method of fabricating semiconductor device using the same| US10388509B2|2016-06-28|2019-08-20|Asm Ip Holding B.V.|Formation of epitaxial layers via dislocation filtering| US9859151B1|2016-07-08|2018-01-02|Asm Ip Holding B.V.|Selective film deposition method to form air gaps| US10612137B2|2016-07-08|2020-04-07|Asm Ip Holdings B.V.|Organic reactants for atomic layer deposition| US9793135B1|2016-07-14|2017-10-17|ASM IP Holding B.V|Method of cyclic dry etching using etchant film| US10714385B2|2016-07-19|2020-07-14|Asm Ip Holding B.V.|Selective deposition of tungsten| US10619243B2|2016-07-22|2020-04-14|Triratna P. Muneshwar|Method to improve precursor utilization in pulsed atomic layer processes| US10381226B2|2016-07-27|2019-08-13|Asm Ip Holding B.V.|Method of processing substrate| US10395919B2|2016-07-28|2019-08-27|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US9887082B1|2016-07-28|2018-02-06|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US9812320B1|2016-07-28|2017-11-07|Asm Ip Holding B.V.|Method and apparatus for filling a gap| US10177025B2|2016-07-28|2019-01-08|Asm Ip Holding B.V.|Method and apparatus for filling a gap| KR20180013034A|2016-07-28|2018-02-07|에이에스엠 아이피 홀딩 비.브이.|Substrate processing apparatus and method of operating the same| KR20180023298A|2016-08-25|2018-03-07|에이에스엠 아이피 홀딩 비.브이.|Exhaust apparatus and substrate processing apparatus and thin film fabricating method using the same| US10090316B2|2016-09-01|2018-10-02|Asm Ip Holding B.V.|3D stacked multilayer semiconductor memory using doped select transistor channel| US10410943B2|2016-10-13|2019-09-10|Asm Ip Holding B.V.|Method for passivating a surface of a semiconductor and related systems| US10643826B2|2016-10-26|2020-05-05|Asm Ip Holdings B.V.|Methods for thermally calibrating reaction chambers| US10714350B2|2016-11-01|2020-07-14|ASM IP Holdings, B.V.|Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures| US10643904B2|2016-11-01|2020-05-05|Asm Ip Holdings B.V.|Methods for forming a semiconductor device and related semiconductor device structures| US10435790B2|2016-11-01|2019-10-08|Asm Ip Holding B.V.|Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap| US10229833B2|2016-11-01|2019-03-12|Asm Ip Holding B.V.|Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures| US10134757B2|2016-11-07|2018-11-20|Asm Ip Holding B.V.|Method of processing a substrate and a device manufactured by using the method| KR20180054366A|2016-11-15|2018-05-24|에이에스엠 아이피 홀딩 비.브이.|Gas supply unit and substrate processing apparatus including the same| US10340135B2|2016-11-28|2019-07-02|Asm Ip Holding B.V.|Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride| US10186420B2|2016-11-29|2019-01-22|Asm Ip Holding B.V.|Formation of silicon-containing thin films| KR20180068582A|2016-12-14|2018-06-22|에이에스엠 아이피 홀딩 비.브이.|Substrate processing apparatus| US9916980B1|2016-12-15|2018-03-13|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US20180171475A1|2016-12-15|2018-06-21|Asm Ip Holding B.V.|Sequential infiltration synthesis apparatus and a method of forming a patterned structure| KR20180070971A|2016-12-19|2018-06-27|에이에스엠 아이피 홀딩 비.브이.|Substrate processing apparatus| US10269558B2|2016-12-22|2019-04-23|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US10867788B2|2016-12-28|2020-12-15|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US10655221B2|2017-02-09|2020-05-19|Asm Ip Holding B.V.|Method for depositing oxide film by thermal ALD and PEALD| US10468261B2|2017-02-15|2019-11-05|Asm Ip Holding B.V.|Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures| US10529563B2|2017-03-29|2020-01-07|Asm Ip Holdings B.V.|Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures| US10283353B2|2017-03-29|2019-05-07|Asm Ip Holding B.V.|Method of reforming insulating film deposited on substrate with recess pattern| US10103040B1|2017-03-31|2018-10-16|Asm Ip Holding B.V.|Apparatus and method for manufacturing a semiconductor device| USD830981S1|2017-04-07|2018-10-16|Asm Ip Holding B.V.|Susceptor for semiconductor substrate processing apparatus| US10847529B2|2017-04-13|2020-11-24|Asm Ip Holding B.V.|Substrate processing method and device manufactured by the same| KR20180119477A|2017-04-25|2018-11-02|에이에스엠 아이피 홀딩 비.브이.|Method for depositing a thin film and manufacturing a semiconductor device| US10504901B2|2017-04-26|2019-12-10|Asm Ip Holding B.V.|Substrate processing method and device manufactured using the same| CN110546302A|2017-05-05|2019-12-06|Asm Ip 控股有限公司|plasma enhanced deposition method for controlled formation of oxygen-containing films| US10446393B2|2017-05-08|2019-10-15|Asm Ip Holding B.V.|Methods for forming silicon-containing epitaxial layers and related semiconductor device structures| US10892156B2|2017-05-08|2021-01-12|Asm Ip Holding B.V.|Methods for forming a silicon nitride film on a substrate and related semiconductor device structures| US10770286B2|2017-05-08|2020-09-08|Asm Ip Holdings B.V.|Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures| US10504742B2|2017-05-31|2019-12-10|Asm Ip Holding B.V.|Method of atomic layer etching using hydrogen plasma| US10886123B2|2017-06-02|2021-01-05|Asm Ip Holding B.V.|Methods for forming low temperature semiconductor layers and related semiconductor device structures| US10685834B2|2017-07-05|2020-06-16|Asm Ip Holdings B.V.|Methods for forming a silicon germanium tin layer and related semiconductor device structures| KR20190009245A|2017-07-18|2019-01-28|에이에스엠 아이피 홀딩 비.브이.|Methods for forming a semiconductor device structure and related semiconductor device structures| US11018002B2|2017-07-19|2021-05-25|Asm Ip Holding B.V.|Method for selectively depositing a Group IV semiconductor and related semiconductor device structures| US10541333B2|2017-07-19|2020-01-21|Asm Ip Holding B.V.|Method for depositing a group IV semiconductor and related semiconductor device structures| US10312055B2|2017-07-26|2019-06-04|Asm Ip Holding B.V.|Method of depositing film by PEALD using negative bias| US10605530B2|2017-07-26|2020-03-31|Asm Ip Holding B.V.|Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace| US10590535B2|2017-07-26|2020-03-17|Asm Ip Holdings B.V.|Chemical treatment, deposition and/or infiltration apparatus and method for using the same| US10692741B2|2017-08-08|2020-06-23|Asm Ip Holdings B.V.|Radiation shield| US10770336B2|2017-08-08|2020-09-08|Asm Ip Holding B.V.|Substrate lift mechanism and reactor including same| US11139191B2|2017-08-09|2021-10-05|Asm Ip Holding B.V.|Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith| US10249524B2|2017-08-09|2019-04-02|Asm Ip Holding B.V.|Cassette holder assembly for a substrate cassette and holding member for use in such assembly| US10236177B1|2017-08-22|2019-03-19|ASM IP Holding B.V..|Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures| USD900036S1|2017-08-24|2020-10-27|Asm Ip Holding B.V.|Heater electrical connector and adapter| US11056344B2|2017-08-30|2021-07-06|Asm Ip Holding B.V.|Layer forming method| KR20190023920A|2017-08-30|2019-03-08|에이에스엠 아이피 홀딩 비.브이.|Substrate processing apparatus| US10607895B2|2017-09-18|2020-03-31|Asm Ip Holdings B.V.|Method for forming a semiconductor device structure comprising a gate fill metal| KR20190033455A|2017-09-21|2019-03-29|에이에스엠 아이피 홀딩 비.브이.|Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same| US10844484B2|2017-09-22|2020-11-24|Asm Ip Holding B.V.|Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods| US10658205B2|2017-09-28|2020-05-19|Asm Ip Holdings B.V.|Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber| US10403504B2|2017-10-05|2019-09-03|Asm Ip Holding B.V.|Method for selectively depositing a metallic film on a substrate| US10319588B2|2017-10-10|2019-06-11|Asm Ip Holding B.V.|Method for depositing a metal chalcogenide on a substrate by cyclical deposition| US10923344B2|2017-10-30|2021-02-16|Asm Ip Holding B.V.|Methods for forming a semiconductor structure and related semiconductor structures| US10910262B2|2017-11-16|2021-02-02|Asm Ip Holding B.V.|Method of selectively depositing a capping layer structure on a semiconductor device structure| KR20190056158A|2017-11-16|2019-05-24|에이에스엠 아이피 홀딩 비.브이.|Method of processing a substrate and a device manufactured by the same| US11022879B2|2017-11-24|2021-06-01|Asm Ip Holding B.V.|Method of forming an enhanced unexposed photoresist layer| KR20200089659A|2017-11-27|2020-07-27|에이에스엠 아이피 홀딩 비.브이.|Storage device for storing wafer cassettes for use with batch furnaces| KR20190065962A|2017-12-04|2019-06-12|에이에스엠 아이피 홀딩 비.브이.|UNIFORM DEPOSITION OF SiOC ON DIELECTRIC AND METAL SURFACES| US10290508B1|2017-12-05|2019-05-14|Asm Ip Holding B.V.|Method for forming vertical spacers for spacer-defined patterning| KR20190072266A|2017-12-15|2019-06-25|삼성전자주식회사|Apparatus for supplying source gas and deposition device having the same| US10872771B2|2018-01-16|2020-12-22|Asm Ip Holding B. V.|Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures| USD903477S1|2018-01-24|2020-12-01|Asm Ip Holdings B.V.|Metal clamp| US11018047B2|2018-01-25|2021-05-25|Asm Ip Holding B.V.|Hybrid lift pin| USD880437S1|2018-02-01|2020-04-07|Asm Ip Holding B.V.|Gas supply plate for semiconductor manufacturing apparatus| US10535516B2|2018-02-01|2020-01-14|Asm Ip Holdings B.V.|Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures| US11081345B2|2018-02-06|2021-08-03|Asm Ip Holding B.V.|Method of post-deposition treatment for silicon oxide film| US10896820B2|2018-02-14|2021-01-19|Asm Ip Holding B.V.|Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process| US10731249B2|2018-02-15|2020-08-04|Asm Ip Holding B.V.|Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus| US10658181B2|2018-02-20|2020-05-19|Asm Ip Holding B.V.|Method of spacer-defined direct patterning in semiconductor fabrication| US10975470B2|2018-02-23|2021-04-13|Asm Ip Holding B.V.|Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment| US11114283B2|2018-03-16|2021-09-07|Asm Ip Holding B.V.|Reactor, system including the reactor, and methods of manufacturing and using same| KR20190113580A|2018-03-27|2019-10-08|에이에스엠 아이피 홀딩 비.브이.|Method of forming an electrode on a substrate and a semiconductor device structure including an electrode| US10510536B2|2018-03-29|2019-12-17|Asm Ip Holding B.V.|Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber| US11088002B2|2018-03-29|2021-08-10|Asm Ip Holding B.V.|Substrate rack and a substrate processing system and method| US11230766B2|2018-03-29|2022-01-25|Asm Ip Holding B.V.|Substrate processing apparatus and method| KR20190114682A|2018-03-30|2019-10-10|에이에스엠 아이피 홀딩 비.브이.|Substrate processing method| KR20190129718A|2018-05-11|2019-11-20|에이에스엠 아이피 홀딩 비.브이.|Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures| TW202013553A|2018-06-04|2020-04-01|荷蘭商Asm 智慧財產控股公司|Wafer handling chamber with moisture reduction| US10797133B2|2018-06-21|2020-10-06|Asm Ip Holding B.V.|Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures| KR20200002519A|2018-06-29|2020-01-08|에이에스엠 아이피 홀딩 비.브이.|Method for depositing a thin film and manufacturing a semiconductor device| US10612136B2|2018-06-29|2020-04-07|ASM IP Holding, B.V.|Temperature-controlled flange and reactor system including same| US10388513B1|2018-07-03|2019-08-20|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition| US10755922B2|2018-07-03|2020-08-25|Asm Ip Holding B.V.|Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition| US10767789B2|2018-07-16|2020-09-08|Asm Ip Holding B.V.|Diaphragm valves, valve components, and methods for forming valve components| US10483099B1|2018-07-26|2019-11-19|Asm Ip Holding B.V.|Method for forming thermally stable organosilicon polymer film| US11053591B2|2018-08-06|2021-07-06|Asm Ip Holding B.V.|Multi-port gas injection system and reactor system including same| US10883175B2|2018-08-09|2021-01-05|Asm Ip Holding B.V.|Vertical furnace for processing substrates and a liner for use therein| US10829852B2|2018-08-16|2020-11-10|Asm Ip Holding B.V.|Gas distribution device for a wafer processing apparatus| US11024523B2|2018-09-11|2021-06-01|Asm Ip Holding B.V.|Substrate processing apparatus and method| US11049751B2|2018-09-14|2021-06-29|Asm Ip Holding B.V.|Cassette supply system to store and handle cassettes and processing apparatus equipped therewith| US11232963B2|2018-10-03|2022-01-25|Asm Ip Holding B.V.|Substrate processing apparatus and method| US10847365B2|2018-10-11|2020-11-24|Asm Ip Holding B.V.|Method of forming conformal silicon carbide film by cyclic CVD| US10811256B2|2018-10-16|2020-10-20|Asm Ip Holding B.V.|Method for etching a carbon-containing feature| KR20200045067A|2018-10-19|2020-05-04|에이에스엠 아이피 홀딩 비.브이.|Substrate processing apparatus and substrate processing method| US10381219B1|2018-10-25|2019-08-13|Asm Ip Holding B.V.|Methods for forming a silicon nitride film| US11087997B2|2018-10-31|2021-08-10|Asm Ip Holding B.V.|Substrate processing apparatus for processing substrates| US11031242B2|2018-11-07|2021-06-08|Asm Ip Holding B.V.|Methods for depositing a boron doped silicon germanium film| US10818758B2|2018-11-16|2020-10-27|Asm Ip Holding B.V.|Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures| US10847366B2|2018-11-16|2020-11-24|Asm Ip Holding B.V.|Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process| US10559458B1|2018-11-26|2020-02-11|Asm Ip Holding B.V.|Method of forming oxynitride film| US11217444B2|2018-11-30|2022-01-04|Asm Ip Holding B.V.|Method for forming an ultraviolet radiation responsive metal oxide-containing film| US11158513B2|2018-12-13|2021-10-26|Asm Ip Holding B.V.|Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures| KR20200091543A|2019-01-22|2020-07-31|에이에스엠 아이피 홀딩 비.브이.|Semiconductor processing device| CN111524788A|2019-02-01|2020-08-11|Asm Ip私人控股有限公司|Method for topologically selective film formation of silicon oxide| KR20200102352A|2019-02-20|2020-08-31|에이에스엠 아이피 홀딩 비.브이.|Cyclical deposition method including treatment step and apparatus for same| TW202044325A|2019-02-20|2020-12-01|荷蘭商Asm Ip私人控股有限公司|Method of filling a recess formed within a surface of a substrate, semiconductor structure formed according to the method, and semiconductor processing apparatus| KR20200108243A|2019-03-08|2020-09-17|에이에스엠 아이피 홀딩 비.브이.|Structure Including SiOC Layer and Method of Forming Same| USD935572S1|2019-05-24|2021-11-09|Asm Ip Holding B.V.|Gas channel plate| USD922229S1|2019-06-05|2021-06-15|Asm Ip Holding B.V.|Device for controlling a temperature of a gas supply unit| USD944946S1|2019-06-14|2022-03-01|Asm Ip Holding B.V.|Shower plate| USD931978S1|2019-06-27|2021-09-28|Asm Ip Holding B.V.|Showerhead vacuum transport| US11227782B2|2019-07-31|2022-01-18|Asm Ip Holding B.V.|Vertical batch furnace assembly| USD930782S1|2019-08-22|2021-09-14|Asm Ip Holding B.V.|Gas distributor| USD940837S1|2019-08-22|2022-01-11|Asm Ip Holding B.V.|Electrode| WO2021097143A2|2019-11-12|2021-05-20|Forge Nano Inc.|Coatings on particles of high energy materials and methods of forming same|
法律状态:
优先权:
[返回顶部]
申请号 | 申请日 | 专利标题 FI743473A|FI52359C|1974-11-29|1974-11-29|Method and apparatus for growing composite thin films.| 相关专利
Sulfonates, polymers, resist compositions and patterning process
Washing machine
Washing machine
Device for fixture finishing and tension adjusting of membrane
Structure for Equipping Band in a Plane Cathode Ray Tube
Process for preparation of 7 alpha-carboxyl 9, 11-epoxy steroids and intermediates useful therein an
国家/地区
|